OpenCores
URL https://opencores.org/ocsvn/wb2axip/wb2axip/trunk

Subversion Repositories wb2axip

[/] [wb2axip/] [trunk/] [rtl/] [Makefile] - Blame information for rev 16

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 dgisselq
################################################################################
2
##
3
## Filename:    Makefile
4
##
5
## Project:     Pipelined Wishbone to AXI converter
6
##
7
## Purpose:     To describe how to build the Verilator libraries from the
8
##              RTL, for the purposes of trying to discover if they work.
9
##      Any actual testing will be done from the code within the bench/cpp
10
##      directory.
11
##
12
## Targets:     The default target, all, builds the target test, which includes
13
##              the libraries necessary for Verilator testing.
14
##
15
## Creator:     Dan Gisselquist, Ph.D.
16
##              Gisselquist Technology, LLC
17
##
18
################################################################################
19
##
20 16 dgisselq
## Copyright (C) 2016,2018, Gisselquist Technology, LLC
21 3 dgisselq
##
22 16 dgisselq
## This file is part of the pipelined Wishbone to AXI converter project, a
23
## project that contains multiple bus bridging designs and formal bus property
24
## sets.
25 3 dgisselq
##
26 16 dgisselq
## The bus bridge designs and property sets are free RTL designs: you can
27
## redistribute them and/or modify any of them under the terms of the GNU
28
## Lesser General Public License as published by the Free Software Foundation,
29
## either version 3 of the License, or (at your option) any later version.
30 3 dgisselq
##
31 16 dgisselq
## The bus bridge designs and property sets are distributed in the hope that
32
## they will be useful, but WITHOUT ANY WARRANTY; without even the implied
33
## warranty of MERCHANTIBILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
34
## GNU Lesser General Public License for more details.
35
##
36
## You should have received a copy of the GNU Lesser General Public License
37
## along with these designs.  (It's in the $(ROOT)/doc directory.  Run make
38
## with no target there if the PDF file isn't present.)  If not, see
39 3 dgisselq
##  for a copy.
40
##
41 16 dgisselq
## License:     LGPL, v3, as defined and found on www.gnu.org,
42
##              http://www.gnu.org/licenses/lgpl.html
43 3 dgisselq
##
44
################################################################################
45
##
46
##
47
all:    test
48
YYMMDD=`date +%Y%m%d`
49
CXX   := g++
50
FBDIR := .
51
VDIRFB:= $(FBDIR)/obj_dir
52
 
53
.PHONY: test
54 16 dgisselq
test: testwb
55 3 dgisselq
 
56 7 dgisselq
.PHONY: testwb
57
.PHONY: testaxi
58
 
59 8 dgisselq
.PHONY: wbm2axisp
60
wbm2axisp: testwb
61
 
62
.PHONY: axim2wbsp
63
axim2wbsp: testaxi
64
 
65 16 dgisselq
.PHONY: axilite
66
 
67 7 dgisselq
testwb:  $(VDIRFB)/Vwbm2axisp__ALL.a
68
testaxi: $(VDIRFB)/Vaxim2wbsp__ALL.a
69 16 dgisselq
axilite: $(VDIRFB)/Vwbm2axilite__ALL.a
70
axilite: $(VDIRFB)/Vaxilrd2wbsp__ALL.a
71
axilite: $(VDIRFB)/Vaxilwr2wbsp__ALL.a
72
axilite: $(VDIRFB)/Vaxlite2wbsp__ALL.a
73 7 dgisselq
 
74 16 dgisselq
.PHONY: wbm2axisp
75
wbm2axisp: $(VDIRFB)/Vwbm2axisp__ALL.a
76 3 dgisselq
$(VDIRFB)/Vwbm2axisp__ALL.a: $(VDIRFB)/Vwbm2axisp.h $(VDIRFB)/Vwbm2axisp.cpp
77
$(VDIRFB)/Vwbm2axisp__ALL.a: $(VDIRFB)/Vwbm2axisp.mk
78
$(VDIRFB)/Vwbm2axisp.h $(VDIRFB)/Vwbm2axisp.cpp $(VDIRFB)/Vwbm2axisp.mk: wbm2axisp.v
79
 
80 16 dgisselq
.PHONY: wbm2axilite
81
wbm2axilite: $(VDIRFB)/Vwbm2axilite__ALL.a
82
$(VDIRFB)/Vwbm2axilite__ALL.a: $(VDIRFB)/Vwbm2axilite.h $(VDIRFB)/Vwbm2axilite.cpp
83
$(VDIRFB)/Vwbm2axilite__ALL.a: $(VDIRFB)/Vwbm2axilite.mk
84
$(VDIRFB)/Vwbm2axilite.h $(VDIRFB)/Vwbm2axilite.cpp $(VDIRFB)/Vwbm2axilite.mk: wbm2axilite.v
85
 
86
.PHONY: axilrd2wbsp
87
axilrd2wbsp: $(VDIRFB)/Vaxilrd2wbsp__ALL.a
88
$(VDIRFB)/Vaxilrd2wbsp__ALL.a: $(VDIRFB)/Vaxilrd2wbsp.h $(VDIRFB)/Vaxilrd2wbsp.cpp
89
$(VDIRFB)/Vaxilrd2wbsp__ALL.a: $(VDIRFB)/Vaxilrd2wbsp.mk
90
$(VDIRFB)/Vaxilrd2wbsp.h $(VDIRFB)/Vaxilrd2wbsp.cpp $(VDIRFB)/Vaxilrd2wbsp.mk: axilrd2wbsp.v
91
 
92
.PHONY: axilwr2wbsp
93
axilwr2wbsp: $(VDIRFB)/Vaxilwr2wbsp__ALL.a
94
$(VDIRFB)/Vaxilwr2wbsp__ALL.a: $(VDIRFB)/Vaxilwr2wbsp.h $(VDIRFB)/Vaxilwr2wbsp.cpp
95
$(VDIRFB)/Vaxilwr2wbsp__ALL.a: $(VDIRFB)/Vaxilwr2wbsp.mk
96
$(VDIRFB)/Vaxilwr2wbsp.h $(VDIRFB)/Vaxilwr2wbsp.cpp $(VDIRFB)/Vaxilwr2wbsp.mk: axilwr2wbsp.v
97
 
98
.PHONY: axlite2wbsp
99
axlite2wbsp: $(VDIRFB)/Vaxlite2wbsp__ALL.a
100
$(VDIRFB)/Vaxlite2wbsp__ALL.a: $(VDIRFB)/Vaxlite2wbsp.h $(VDIRFB)/Vaxlite2wbsp.cpp
101
$(VDIRFB)/Vaxlite2wbsp__ALL.a: $(VDIRFB)/Vaxlite2wbsp.mk
102
$(VDIRFB)/Vaxlite2wbsp.h $(VDIRFB)/Vaxlite2wbsp.cpp $(VDIRFB)/Vaxlite2wbsp.mk: axlite2wbsp.v
103
 
104 7 dgisselq
$(VDIRFB)/Vaxim2wbsp__ALL.a: $(VDIRFB)/Vaxim2wbsp.h $(VDIRFB)/Vaxim2wbsp.cpp
105
$(VDIRFB)/Vaxim2wbsp__ALL.a: $(VDIRFB)/Vaxim2wbsp.mk
106
$(VDIRFB)/Vaxim2wbsp.h $(VDIRFB)/Vaxim2wbsp.cpp $(VDIRFB)/Vaxim2wbsp.mk: \
107
        axim2wbsp.v aximrd2wbsp.v aximwr2wbsp.v wbarbiter.v
108
 
109 3 dgisselq
$(VDIRFB)/V%.cpp $(VDIRFB)/V%.h $(VDIRFB)/V%.mk: $(FBDIR)/%.v
110
        verilator -cc $*.v
111
 
112
$(VDIRFB)/V%__ALL.a: $(VDIRFB)/V%.mk
113
        cd $(VDIRFB); make -f V$*.mk
114
 
115
.PHONY: clean
116
clean:
117
        rm -rf $(VDIRFB)/*.mk
118
        rm -rf $(VDIRFB)/*.cpp
119
        rm -rf $(VDIRFB)/*.h
120
        rm -rf $(VDIRFB)/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.