OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [sim/] [do/] [picoblaze_wb_uart_tb.do] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 ste.fis
################################################################################
2
## This sourcecode is released under BSD license.
3
## Please see http://www.opensource.org/licenses/bsd-license.php for details!
4
################################################################################
5
##
6
## Copyright (c) 2010, Stefan Fischer 
7
## All rights reserved.
8
##
9
## Redistribution and use in source and binary forms, with or without
10
## modification, are permitted provided that the following conditions are met:
11
##
12
##  * Redistributions of source code must retain the above copyright notice,
13
##    this list of conditions and the following disclaimer.
14
##  * Redistributions in binary form must reproduce the above copyright notice,
15
##    this list of conditions and the following disclaimer in the documentation
16
##    and/or other materials provided with the distribution.
17
##  * Neither the name of the author nor the names of his contributors may be
18
##    used to endorse or promote products derived from this software without
19
##    specific prior written permission.
20
##
21
## THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
22
## AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
23
## IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
24
## ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE
25
## LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
26
## CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
27
## SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
28
## INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
29
## CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
30
## ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
31
## POSSIBILITY OF SUCH DAMAGE.
32
##
33
################################################################################
34
## filename: picoblaze_wb_uart_tb.do
35
## description: ModelSim (R) do-macro / tcl-script for picoblaze_wb_uart_tb hdl
36
##              testbench
37
## todo4user: modify working directory and hdl variables
38
## version: 0.0.0
39
## changelog: - 0.0.0, initial release
40
##            - ...
41
################################################################################
42
 
43
# IMPORTANT NOTICE!
44
# Verilog (R) simulation flow requires Xilinx (R) ISE (R) to be installed.
45
 
46
# user settings: preferred hdl and working directory
47
set wd "e:/home_users/ste.fis/projects/wb4pb/trunk/sim"
48
set isVHDL yes
49
 
50
# working directory cannot be changed while simulation is running
51
if {![string equal -nocase [pwd] $wd]} {
52
  quit -sim
53
  cd $wd
54
}
55
 
56
# creating library work, if not existing
57
if {[glob -nocomplain -types d "work"] == {}} {
58
  vlib work
59
}
60
 
61
# compiling hdl modules and starting simulator
62
if {$isVHDL} {
63
 
64
  vcom -check_synthesis "../rtl/picoblaze_wb_uart.vhd"
65
  vcom -check_synthesis "../rtl/wbm_picoblaze.vhd"
66
  vcom -check_synthesis "../rtl/wbs_uart.vhd"
67
  vcom "../rtl/uart_rx.vhd"
68
  vcom "../rtl/uart_tx.vhd"
69
  vcom "../rtl/kcuart_rx.vhd"
70
  vcom "../rtl/kcuart_tx.vhd"
71
  vcom "../rtl/bbfifo_16x8.vhd"
72
  vcom "../rtl/kcpsm3.vhd"
73
  vcom "../asm/pbwbuart.vhd"
74
  vcom "../sim/hdl/picoblaze_wb_uart_tb.vhd"
75
 
76
  vsim picoblaze_wb_uart_tb behavioral
77
 
78
} else {
79
 
80
  vlog "../rtl/picoblaze_wb_uart.v"
81
  vlog "../rtl/wbm_picoblaze.v"
82
  vlog "../rtl/wbs_uart.v"
83
  vlog "../rtl/uart_rx.v"
84
  vlog "../rtl/uart_tx.v"
85
  vlog "../rtl/kcuart_rx.v"
86
  vlog "../rtl/kcuart_tx.v"
87
  vlog "../rtl/bbfifo_16x8.v"
88
  vlog "../rtl/kcpsm3.v"
89
  vlog "../asm/pbwbuart.v"
90
  vlog "../sim/hdl/picoblaze_wb_uart_tb.v"
91
  vlog "$env(XILINX)/verilog/src/glbl.v"
92
 
93
  vsim picoblaze_wb_uart_tb glbl
94
 
95
}
96
 
97
# configuring wave window
98
view -undock -x 0 -y 0 -width 1024 -height 640 wave
99
 
100
# adding signals of interest
101
 
102
proc add_wave_sys_sig? {on_off_n} {
103
  if {$on_off_n} {
104
    add wave -divider "SYSTEM SIGNALS"
105
    add wave sim:/dut/rst
106
    add wave sim:/dut/clk
107
  }
108
}
109
 
110
proc add_wave_wb_sig? {on_off_n} {
111
  if {$on_off_n} {
112
    add wave -divider "WISHBONE SIGNALS"
113
    #add wave sim:/dut/wb_cyc
114
    add wave sim:/dut/wb_stb
115
    add wave sim:/dut/wb_we
116
    add wave -radix hex sim:/dut/wb_adr
117
    add wave -radix hex sim:/dut/wb_dat_m2s
118
    add wave -radix hex sim:/dut/wb_dat_s2m
119
    add wave sim:/dut/wb_ack
120
  }
121
}
122
 
123
proc add_wave_pbport_sig? {on_off_n} {
124
  if {$on_off_n} {
125
    add wave -divider "PICOBLAZE PORT SIGNALS"
126
    add wave -radix hex sim:/dut/pb_port_id
127
    add wave sim:/dut/pb_write_strobe
128
    add wave -radix hex sim:/dut/pb_out_port
129
    add wave sim:/dut/pb_read_strobe
130
    add wave -radix hex sim:/dut/pb_in_port
131
  }
132
}
133
 
134
proc add_wave_pbimem_sig? {on_off_n} {
135
  if {$on_off_n} {
136
    add wave -divider "PICOBLAZE INSTRUCTION MEMORY SIGNALS"
137
    add wave -radix hex sim:/dut/address
138
    add wave -radix hex sim:/dut/instruction
139
  }
140
}
141
 
142
proc add_wave_uart_sig? {on_off_n} {
143
  if {$on_off_n} {
144
    add wave -divider "UART SIGNALS"
145
    add wave sim:/uart_rx_si
146
    #add wave sim:/uart_tx_so
147
    add wave sim:/dut/inst_wbs_uart/en_16_x_baud
148
  }
149
}
150
 
151
# selecting active signal groups
152
add_wave_sys_sig? yes
153
add_wave_wb_sig? yes
154
add_wave_pbport_sig? yes
155
add_wave_pbimem_sig? no
156
add_wave_uart_sig? yes
157
 
158
# setting simulation runtime
159
run 100 us
160
 
161
# zooming to time area of interest
162
wave zoomfull

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.