OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [sim/] [hdl/] [picoblaze_wb_uart_tb.vhd] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 ste.fis
--------------------------------------------------------------------------------
2
-- This sourcecode is released under BSD license.
3
-- Please see http://www.opensource.org/licenses/bsd-license.php for details!
4
--------------------------------------------------------------------------------
5
--
6
-- Copyright (c) 2010, Stefan Fischer <Ste.Fis@OpenCores.org>
7
-- All rights reserved.
8
--
9
-- Redistribution and use in source and binary forms, with or without 
10
-- modification, are permitted provided that the following conditions are met:
11
--
12
--  * Redistributions of source code must retain the above copyright notice, 
13
--    this list of conditions and the following disclaimer.
14
--  * Redistributions in binary form must reproduce the above copyright notice,
15
--    this list of conditions and the following disclaimer in the documentation
16
--    and/or other materials provided with the distribution. 
17
--  * Neither the name of the author nor the names of his contributors may be 
18
--    used to endorse or promote products derived from this software without 
19
--    specific prior written permission.
20
--
21
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" 
22
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE 
23
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE 
24
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE 
25
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR 
26
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF 
27
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS 
28
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN 
29
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) 
30
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE 
31
-- POSSIBILITY OF SUCH DAMAGE.
32
--
33
--------------------------------------------------------------------------------
34
-- filename: picoblaze_wb_uart_tb.vhd
35
-- description: testbench for picoblaze_wb_uart example
36
-- todo4user: modify stimulus as needed
37
-- version: 0.0.0
38
-- changelog: - 0.0.0, initial release
39
--            - ...
40
--------------------------------------------------------------------------------
41
 
42
 
43
library ieee;
44
use ieee.std_logic_1164.all;
45
 
46
 
47
entity picoblaze_wb_uart_tb is
48
end picoblaze_wb_uart_tb;
49
 
50
 
51
architecture behavioral of picoblaze_wb_uart_tb is
52
 
53
  component picoblaze_wb_uart is
54
    port
55
    (
56
      p_rst_n_i : in std_logic;
57
      p_clk_i : in std_logic;
58
 
59
      p_uart_rx_si_i : in std_logic;
60
      p_uart_tx_so_o : out std_logic
61
    );
62
  end component;
63
 
64
  signal rst_n : std_logic := '0';
65
  signal clk : std_logic := '1';
66
 
67
  signal uart_rx_si : std_logic := '0';
68
  signal uart_tx_so : std_logic := '0';
69
 
70
  constant PERIOD : time := 20 ns;
71
 
72
begin
73
 
74
  rst_n <= '1' after PERIOD*2;
75
  clk <= not clk after PERIOD/2;
76
 
77
  -- simple serial loopback
78
  uart_rx_si <= uart_tx_so;
79
 
80
  dut : picoblaze_wb_uart
81
    port map
82
    (
83
      p_rst_n_i => rst_n,
84
      p_clk_i => clk,
85
 
86
      p_uart_rx_si_i => uart_rx_si,
87
      p_uart_tx_so_o => uart_tx_so
88
    );
89
 
90
end behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.