OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [sim/] [hdl/] [picoblaze_wb_uart_tb.vhd] - Blame information for rev 31

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 ste.fis
--------------------------------------------------------------------------------
2
-- This sourcecode is released under BSD license.
3
-- Please see http://www.opensource.org/licenses/bsd-license.php for details!
4
--------------------------------------------------------------------------------
5
--
6
-- Copyright (c) 2010, Stefan Fischer <Ste.Fis@OpenCores.org>
7
-- All rights reserved.
8
--
9
-- Redistribution and use in source and binary forms, with or without 
10
-- modification, are permitted provided that the following conditions are met:
11
--
12
--  * Redistributions of source code must retain the above copyright notice, 
13
--    this list of conditions and the following disclaimer.
14
--  * Redistributions in binary form must reproduce the above copyright notice,
15
--    this list of conditions and the following disclaimer in the documentation
16 31 ste.fis
--    and/or other materials provided with the distribution.
17 15 ste.fis
--
18
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" 
19
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE 
20
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE 
21
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE 
22
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR 
23
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF 
24
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS 
25
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN 
26
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) 
27
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE 
28
-- POSSIBILITY OF SUCH DAMAGE.
29
--
30
--------------------------------------------------------------------------------
31
-- filename: picoblaze_wb_uart_tb.vhd
32
-- description: testbench for picoblaze_wb_uart example
33
-- todo4user: modify stimulus as needed
34
-- version: 0.0.0
35
-- changelog: - 0.0.0, initial release
36
--            - ...
37
--------------------------------------------------------------------------------
38
 
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
 
43
 
44
entity picoblaze_wb_uart_tb is
45
end picoblaze_wb_uart_tb;
46
 
47
 
48
architecture behavioral of picoblaze_wb_uart_tb is
49
 
50
  component picoblaze_wb_uart is
51
    port
52
    (
53
      p_rst_n_i : in std_logic;
54
      p_clk_i : in std_logic;
55
 
56
      p_uart_rx_si_i : in std_logic;
57
      p_uart_tx_so_o : out std_logic
58
    );
59
  end component;
60
 
61
  signal rst_n : std_logic := '0';
62
  signal clk : std_logic := '1';
63
 
64
  signal uart_rx_si : std_logic := '0';
65
  signal uart_tx_so : std_logic := '0';
66
 
67
  constant PERIOD : time := 20 ns;
68
 
69
begin
70
 
71 18 ste.fis
  -- system signal generation
72 15 ste.fis
  rst_n <= '1' after PERIOD*2;
73
  clk <= not clk after PERIOD/2;
74
 
75
  -- simple serial loopback
76
  uart_rx_si <= uart_tx_so;
77
 
78 18 ste.fis
  -- design under test instance
79 15 ste.fis
  dut : picoblaze_wb_uart
80
    port map
81
    (
82
      p_rst_n_i => rst_n,
83
      p_clk_i => clk,
84
 
85
      p_uart_rx_si_i => uart_rx_si,
86
      p_uart_tx_so_o => uart_tx_so
87
    );
88
 
89
end behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.