OpenCores
URL https://opencores.org/ocsvn/wf3d/wf3d/trunk

Subversion Repositories wf3d

[/] [wf3d/] [trunk/] [implement/] [synth/] [de0/] [qtproject_wb/] [d3d_system.sopcinfo] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 specular
2
3
 
4
 
5
 
6
 
7
  java.lang.Integer
8
  1443332220
9
  false
10
  true
11
  false
12
  true
13
 
14
 
15
  java.lang.String
16
  
17
  false
18
  true
19
  false
20
  true
21
 
22
 
23
  java.lang.String
24
  CYCLONEIII
25
  false
26
  true
27
  false
28
  true
29
 
30
 
31
  java.lang.String
32
  EP3C16U484C6
33
  false
34
  true
35
  false
36
  true
37
 
38
 
39
  java.lang.Long
40
  -1
41
  false
42
  true
43
  false
44
  true
45
 
46
 
47
  java.lang.Integer
48
  -1
49
  false
50
  true
51
  false
52
  true
53
 
54
 
55
  java.lang.Integer
56
  -1
57
  false
58
  true
59
  false
60
  true
61
 
62
 
63
  java.lang.String
64
  Cyclone III
65
  false
66
  true
67
  false
68
  true
69
 
70
 
71
  boolean
72
  false
73
  false
74
  true
75
  true
76
  true
77
 
78
 
79
  
81
  
82
   long
83
   50000000
84
   false
85
   true
86
   true
87
   true
88
  
89
  
90
   boolean
91
   true
92
   false
93
   true
94
   true
95
   true
96
  
97
  
98
   long
99
   0
100
   false
101
   true
102
   false
103
   true
104
  
105
  
106
   com.altera.sopcmodel.reset.Reset$Edges
107
   NONE
108
   false
109
   true
110
   true
111
   true
112
  
113
  
114
   java.lang.String
115
   UNKNOWN
116
   false
117
   true
118
   true
119
   true
120
  
121
  
122
   boolean
123
   false
124
   false
125
   true
126
   true
127
   true
128
  
129
  
130
   
133
   
134
    qsys.ui.export_name
135
    clk
136
   
137
   
138
    boolean
139
    false
140
    false
141
    true
142
    false
143
    true
144
   
145
   
146
    java.lang.String
147
    
148
    false
149
    true
150
    false
151
    true
152
   
153
   
154
    java.lang.String
155
    UNKNOWN
156
    false
157
    true
158
    true
159
    true
160
   
161
   
162
    boolean
163
    false
164
    false
165
    true
166
    true
167
    true
168
   
169
   
170
    java.lang.Boolean
171
    true
172
    true
173
    true
174
    false
175
    true
176
   
177
   
178
    java.lang.Long
179
    50000000
180
    true
181
    true
182
    false
183
    true
184
   
185
   clock
186
   false
187
   
188
    in_clk
189
    Input
190
    1
191
    clk
192
   
193
  
194
  
195
   
198
   
199
    qsys.ui.export_name
200
    reset
201
   
202
   
203
    java.lang.String
204
    
205
    false
206
    true
207
    true
208
    true
209
   
210
   
211
    com.altera.sopcmodel.reset.Reset$Edges
212
    NONE
213
    false
214
    true
215
    true
216
    true
217
   
218
   
219
    java.lang.String
220
    UNKNOWN
221
    false
222
    true
223
    true
224
    true
225
   
226
   
227
    boolean
228
    false
229
    false
230
    true
231
    true
232
    true
233
   
234
   reset
235
   false
236
   
237
    reset_n
238
    Input
239
    1
240
    reset_n
241
   
242
  
243
  
244
   
247
   
248
    java.lang.String
249
    clk_in
250
    false
251
    true
252
    true
253
    true
254
   
255
   
256
    long
257
    50000000
258
    false
259
    true
260
    true
261
    true
262
   
263
   
264
    boolean
265
    true
266
    false
267
    true
268
    true
269
    true
270
   
271
   
272
    boolean
273
    true
274
    false
275
    true
276
    false
277
    true
278
   
279
   
280
    java.lang.String
281
    
282
    false
283
    true
284
    false
285
    true
286
   
287
   
288
    java.lang.String
289
    UNKNOWN
290
    false
291
    true
292
    true
293
    true
294
   
295
   
296
    boolean
297
    false
298
    false
299
    true
300
    true
301
    true
302
   
303
   clock
304
   true
305
   
306
    clk_out
307
    Output
308
    1
309
    clk
310
   
311
   
312
    false
313
    altpll_0
314
    inclk_interface
315
    altpll_0.inclk_interface
316
   
317
  
318
  
319
   
322
   
323
    java.lang.String
324
    
325
    false
326
    true
327
    true
328
    true
329
   
330
   
331
    java.lang.String
332
    clk_in_reset
333
    false
334
    true
335
    true
336
    true
337
   
338
   
339
    [Ljava.lang.String;
340
    clk_in_reset
341
    false
342
    true
343
    true
344
    true
345
   
346
   
347
    com.altera.sopcmodel.reset.Reset$Edges
348
    NONE
349
    false
350
    true
351
    true
352
    true
353
   
354
   
355
    java.lang.String
356
    UNKNOWN
357
    false
358
    true
359
    true
360
    true
361
   
362
   
363
    boolean
364
    false
365
    false
366
    true
367
    true
368
    true
369
   
370
   reset
371
   true
372
   
373
    reset_n_out
374
    Output
375
    1
376
    reset_n
377
   
378
  
379
 
380
 
381
   name="nios2_qsys_0"
382
   kind="altera_nios2_qsys"
383
   version="13.1"
384
   path="nios2_qsys_0">
385
  
387
  
388
   debug.hostConnection
389
   type jtag id 70:34|110:135
390
  
391
  
392
   embeddedsw.CMacro.BIG_ENDIAN
393
   0
394
  
395
  
396
   embeddedsw.CMacro.BREAK_ADDR
397
   0x00000820
398
  
399
  
400
   embeddedsw.CMacro.CPU_FREQ
401
   50000000u
402
  
403
  
404
   embeddedsw.CMacro.CPU_ID_SIZE
405
   1
406
  
407
  
408
   embeddedsw.CMacro.CPU_ID_VALUE
409
   0x00000000
410
  
411
  
412
   embeddedsw.CMacro.CPU_IMPLEMENTATION
413
   "fast"
414
  
415
  
416
   embeddedsw.CMacro.DATA_ADDR_WIDTH
417
   26
418
  
419
  
420
   embeddedsw.CMacro.DCACHE_LINE_SIZE
421
   32
422
  
423
  
424
   embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2
425
   5
426
  
427
  
428
   embeddedsw.CMacro.DCACHE_SIZE
429
   2048
430
  
431
  
432
   embeddedsw.CMacro.EXCEPTION_ADDR
433
   0x02000020
434
  
435
  
436
   embeddedsw.CMacro.FLUSHDA_SUPPORTED
437
   
438
  
439
  
440
   embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT
441
   0
442
  
443
  
444
   embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT
445
   1
446
  
447
  
448
   embeddedsw.CMacro.HARDWARE_MULX_PRESENT
449
   0
450
  
451
  
452
   embeddedsw.CMacro.HAS_DEBUG_CORE
453
   1
454
  
455
  
456
   embeddedsw.CMacro.HAS_DEBUG_STUB
457
   
458
  
459
  
460
   embeddedsw.CMacro.HAS_JMPI_INSTRUCTION
461
   
462
  
463
  
464
   embeddedsw.CMacro.ICACHE_LINE_SIZE
465
   32
466
  
467
  
468
   embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2
469
   5
470
  
471
  
472
   embeddedsw.CMacro.ICACHE_SIZE
473
   4096
474
  
475
  
476
   embeddedsw.CMacro.INITDA_SUPPORTED
477
   
478
  
479
  
480
   embeddedsw.CMacro.INST_ADDR_WIDTH
481
   26
482
  
483
  
484
   embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS
485
   0
486
  
487
  
488
   embeddedsw.CMacro.RESET_ADDR
489
   0x00001800
490
  
491
  
492
   embeddedsw.configuration.HDLSimCachesCleared
493
   1
494
  
495
  
496
   embeddedsw.configuration.breakOffset
497
   32
498
  
499
  
500
   embeddedsw.configuration.breakSlave
501
   nios2_qsys_0.jtag_debug_module
502
  
503
  
504
   embeddedsw.configuration.cpuArchitecture
505
   Nios II
506
  
507
  
508
   embeddedsw.configuration.exceptionOffset
509
   32
510
  
511
  
512
   embeddedsw.configuration.exceptionSlave
513
   new_sdram_controller_0.s1
514
  
515
  
516
   embeddedsw.configuration.resetOffset
517
   0
518
  
519
  
520
   embeddedsw.configuration.resetSlave
521
   epcs_flash_controller_0.epcs_control_port
522
  
523
  
524
   embeddedsw.dts.compatible
525
   altr,nios2-1.0
526
  
527
  
528
   embeddedsw.dts.group
529
   cpu
530
  
531
  
532
   embeddedsw.dts.name
533
   nios2
534
  
535
  
536
   embeddedsw.dts.params.ALTR,exception-addr
537
   0x02000020
538
  
539
  
540
   embeddedsw.dts.params.ALTR,has-initda
541
   1
542
  
543
  
544
   embeddedsw.dts.params.ALTR,has-mul
545
   1
546
  
547
  
548
   embeddedsw.dts.params.ALTR,implementation
549
   "fast"
550
  
551
  
552
   embeddedsw.dts.params.ALTR,reset-addr
553
   0x00001800
554
  
555
  
556
   embeddedsw.dts.params.clock-frequency
557
   50000000u
558
  
559
  
560
   embeddedsw.dts.params.dcache-line-size
561
   32
562
  
563
  
564
   embeddedsw.dts.params.dcache-size
565
   2048
566
  
567
  
568
   embeddedsw.dts.params.icache-line-size
569
   32
570
  
571
  
572
   embeddedsw.dts.params.icache-size
573
   4096
574
  
575
  
576
   embeddedsw.dts.vendor
577
   altr
578
  
579
  
580
   boolean
581
   false
582
   false
583
   true
584
   true
585
   true
586
  
587
  
588
   boolean
589
   false
590
   false
591
   true
592
   true
593
   true
594
  
595
  
596
   boolean
597
   false
598
   false
599
   true
600
   false
601
   true
602
  
603
  
604
   boolean
605
   false
606
   false
607
   true
608
   true
609
   true
610
  
611
  
612
   boolean
613
   false
614
   false
615
   false
616
   true
617
   true
618
  
619
  
620
   boolean
621
   false
622
   false
623
   true
624
   false
625
   true
626
  
627
  
628
   boolean
629
   false
630
   false
631
   true
632
   false
633
   true
634
  
635
  
636
   boolean
637
   false
638
   false
639
   true
640
   true
641
   true
642
  
643
  
644
   boolean
645
   false
646
   false
647
   true
648
   false
649
   true
650
  
651
  
652
   boolean
653
   false
654
   false
655
   true
656
   true
657
   true
658
  
659
  
660
   boolean
661
   false
662
   false
663
   true
664
   false
665
   true
666
  
667
  
668
   boolean
669
   false
670
   false
671
   true
672
   false
673
   true
674
  
675
  
676
   boolean
677
   true
678
   false
679
   true
680
   false
681
   true
682
  
683
  
684
   boolean
685
   true
686
   false
687
   true
688
   false
689
   true
690
  
691
  
692
   boolean
693
   false
694
   false
695
   true
696
   false
697
   true
698
  
699
  
700
   boolean
701
   false
702
   false
703
   true
704
   false
705
   true
706
  
707
  
708
   boolean
709
   false
710
   false
711
   true
712
   false
713
   true
714
  
715
  
716
   boolean
717
   false
718
   false
719
   true
720
   false
721
   true
722
  
723
  
724
   boolean
725
   false
726
   false
727
   true
728
   false
729
   true
730
  
731
  
732
   boolean
733
   false
734
   false
735
   true
736
   false
737
   true
738
  
739
  
740
   boolean
741
   false
742
   false
743
   true
744
   false
745
   true
746
  
747
  
748
   boolean
749
   true
750
   false
751
   true
752
   false
753
   true
754
  
755
  
756
   boolean
757
   false
758
   false
759
   true
760
   false
761
   true
762
  
763
  
764
   boolean
765
   true
766
   false
767
   true
768
   false
769
   true
770
  
771
  
772
   boolean
773
   false
774
   false
775
   true
776
   true
777
   true
778
  
779
  
780
   boolean
781
   false
782
   false
783
   true
784
   false
785
   true
786
  
787
  
788
   boolean
789
   false
790
   false
791
   true
792
   false
793
   true
794
  
795
  
796
   boolean
797
   true
798
   false
799
   true
800
   false
801
   true
802
  
803
  
804
   boolean
805
   false
806
   false
807
   true
808
   false
809
   true
810
  
811
  
812
   boolean
813
   true
814
   false
815
   true
816
   false
817
   true
818
  
819
  
820
   boolean
821
   false
822
   false
823
   true
824
   false
825
   true
826
  
827
  
828
   boolean
829
   false
830
   false
831
   true
832
   false
833
   true
834
  
835
  
836
   boolean
837
   false
838
   false
839
   true
840
   true
841
   true
842
  
843
  
844
   boolean
845
   false
846
   false
847
   false
848
   true
849
   true
850
  
851
  
852
   boolean
853
   false
854
   false
855
   true
856
   true
857
   true
858
  
859
  
860
   boolean
861
   false
862
   false
863
   true
864
   true
865
   true
866
  
867
  
868
   boolean
869
   true
870
   false
871
   false
872
   true
873
   true
874
  
875
  
876
   boolean
877
   true
878
   false
879
   true
880
   true
881
   true
882
  
883
  
884
   boolean
885
   true
886
   false
887
   true
888
   false
889
   true
890
  
891
  
892
   boolean
893
   true
894
   false
895
   false
896
   true
897
   true
898
  
899
  
900
   boolean
901
   false
902
   false
903
   true
904
   true
905
   true
906
  
907
  
908
   boolean
909
   false
910
   false
911
   true
912
   false
913
   true
914
  
915
  
916
   boolean
917
   false
918
   false
919
   true
920
   true
921
   true
922
  
923
  
924
   boolean
925
   false
926
   false
927
   true
928
   true
929
   true
930
  
931
  
932
   boolean
933
   false
934
   false
935
   true
936
   true
937
   true
938
  
939
  
940
   int
941
   0
942
   false
943
   true
944
   true
945
   true
946
  
947
  
948
   int
949
   8
950
   false
951
   false
952
   true
953
   true
954
  
955
  
956
   int
957
   8
958
   false
959
   false
960
   true
961
   true
962
  
963
  
964
   int
965
   0
966
   false
967
   false
968
   true
969
   true
970
  
971
  
972
   int
973
   0
974
   false
975
   true
976
   false
977
   true
978
  
979
  
980
   int
981
   0
982
   false
983
   true
984
   true
985
   true
986
  
987
  
988
   int
989
   32
990
   false
991
   true
992
   true
993
   true
994
  
995
  
996
   int
997
   0
998
   false
999
   true
1000
   true
1001
   true
1002
  
1003
  
1004
   int
1005
   0
1006
   false
1007
   true
1008
   false
1009
   true
1010
  
1011
  
1012
   int
1013
   32
1014
   false
1015
   false
1016
   true
1017
   true
1018
  
1019
  
1020
   java.lang.String
1021
   
1022
   false
1023
   true
1024
   false
1025
   true
1026
  
1027
  
1028
   java.lang.String
1029
   epcs_flash_controller_0.epcs_control_port
1030
   false
1031
   true
1032
   true
1033
   true
1034
  
1035
  
1036
   java.lang.String
1037
   None
1038
   false
1039
   false
1040
   true
1041
   true
1042
  
1043
  
1044
   java.lang.String
1045
   new_sdram_controller_0.s1
1046
   false
1047
   true
1048
   true
1049
   true
1050
  
1051
  
1052
   java.lang.String
1053
   nios2_qsys_0.jtag_debug_module
1054
   false
1055
   false
1056
   true
1057
   true
1058
  
1059
  
1060
   int
1061
   32
1062
   false
1063
   true
1064
   false
1065
   true
1066
  
1067
  
1068
   java.lang.String
1069
   Internal
1070
   false
1071
   true
1072
   true
1073
   true
1074
  
1075
  
1076
   java.lang.String
1077
   Automatic
1078
   false
1079
   true
1080
   false
1081
   true
1082
  
1083
  
1084
   int
1085
   8
1086
   false
1087
   true
1088
   false
1089
   true
1090
  
1091
  
1092
   java.lang.String
1093
   EmbeddedMulFast
1094
   false
1095
   true
1096
   true
1097
   true
1098
  
1099
  
1100
   int
1101
   12
1102
   false
1103
   false
1104
   true
1105
   true
1106
  
1107
  
1108
   int
1109
   12
1110
   false
1111
   false
1112
   true
1113
   true
1114
  
1115
  
1116
   int
1117
   4
1118
   false
1119
   false
1120
   true
1121
   true
1122
  
1123
  
1124
   int
1125
   6
1126
   false
1127
   false
1128
   true
1129
   true
1130
  
1131
  
1132
   int
1133
   7
1134
   false
1135
   false
1136
   true
1137
   true
1138
  
1139
  
1140
   int
1141
   16
1142
   false
1143
   false
1144
   true
1145
   true
1146
  
1147
  
1148
   int
1149
   8
1150
   false
1151
   false
1152
   true
1153
   true
1154
  
1155
  
1156
   java.lang.String
1157
   Fast
1158
   false
1159
   true
1160
   true
1161
   true
1162
  
1163
  
1164
   int
1165
   4096
1166
   false
1167
   true
1168
   true
1169
   true
1170
  
1171
  
1172
   java.lang.String
1173
   Automatic
1174
   false
1175
   true
1176
   false
1177
   true
1178
  
1179
  
1180
   java.lang.String
1181
   Automatic
1182
   false
1183
   true
1184
   false
1185
   true
1186
  
1187
  
1188
   int
1189
   0
1190
   false
1191
   true
1192
   true
1193
   true
1194
  
1195
  
1196
   java.lang.String
1197
   None
1198
   false
1199
   true
1200
   true
1201
   true
1202
  
1203
  
1204
   java.lang.String
1205
   false
1206
   false
1207
   true
1208
   true
1209
   true
1210
  
1211
  
1212
   java.lang.String
1213
   ram
1214
   false
1215
   true
1216
   true
1217
   true
1218
  
1219
  
1220
   java.lang.String
1221
   Level1
1222
   false
1223
   true
1224
   true
1225
   true
1226
  
1227
  
1228
   java.lang.String
1229
   _128
1230
   false
1231
   false
1232
   true
1233
   true
1234
  
1235
  
1236
   int
1237
   2048
1238
   false
1239
   true
1240
   true
1241
   true
1242
  
1243
  
1244
   java.lang.String
1245
   Automatic
1246
   false
1247
   true
1248
   false
1249
   true
1250
  
1251
  
1252
   java.lang.String
1253
   Automatic
1254
   false
1255
   true
1256
   false
1257
   true
1258
  
1259
  
1260
   int
1261
   0
1262
   false
1263
   true
1264
   true
1265
   true
1266
  
1267
  
1268
   int
1269
   32
1270
   false
1271
   true
1272
   true
1273
   true
1274
  
1275
  
1276
   boolean
1277
   false
1278
   false
1279
   true
1280
   false
1281
   true
1282
  
1283
  
1284
   boolean
1285
   false
1286
   false
1287
   true
1288
   true
1289
   true
1290
  
1291
  
1292
   boolean
1293
   true
1294
   false
1295
   true
1296
   false
1297
   true
1298
  
1299
  
1300
   boolean
1301
   true
1302
   false
1303
   true
1304
   false
1305
   true
1306
  
1307
  
1308
   boolean
1309
   true
1310
   false
1311
   true
1312
   false
1313
   true
1314
  
1315
  
1316
   boolean
1317
   false
1318
   false
1319
   true
1320
   false
1321
   true
1322
  
1323
  
1324
   boolean
1325
   false
1326
   false
1327
   true
1328
   false
1329
   true
1330
  
1331
  
1332
   boolean
1333
   false
1334
   false
1335
   true
1336
   false
1337
   true
1338
  
1339
  
1340
   java.lang.String
1341
   Automatic
1342
   false
1343
   true
1344
   false
1345
   true
1346
  
1347
  
1348
   java.lang.String
1349
   Automatic
1350
   false
1351
   true
1352
   false
1353
   true
1354
  
1355
  
1356
   java.lang.String
1357
   Automatic
1358
   false
1359
   true
1360
   false
1361
   true
1362
  
1363
  
1364
   java.lang.String
1365
   Automatic
1366
   false
1367
   true
1368
   false
1369
   true
1370
  
1371
  
1372
   int
1373
   6144
1374
   true
1375
   true
1376
   true
1377
   true
1378
  
1379
  
1380
   int
1381
   33554464
1382
   true
1383
   true
1384
   true
1385
   true
1386
  
1387
  
1388
   int
1389
   2080
1390
   true
1391
   true
1392
   true
1393
   true
1394
  
1395
  
1396
   int
1397
   0
1398
   true
1399
   true
1400
   true
1401
   true
1402
  
1403
  
1404
   java.lang.String
1405
   false
1406
   true
1407
   true
1408
   false
1409
   true
1410
  
1411
  
1412
   int
1413
   2048
1414
   true
1415
   true
1416
   false
1417
   true
1418
  
1419
  
1420
   int
1421
   32
1422
   true
1423
   true
1424
   false
1425
   true
1426
  
1427
  
1428
   java.lang.String
1429
    "synthesis translate_on"  
1430
   true
1431
   true
1432
   false
1433
   true
1434
  
1435
  
1436
   java.lang.String
1437
    "synthesis translate_off" 
1438
   true
1439
   true
1440
   false
1441
   true
1442
  
1443
  
1444
   int
1445
   26
1446
   false
1447
   true
1448
   false
1449
   true
1450
  
1451
  
1452
   int
1453
   26
1454
   false
1455
   true
1456
   false
1457
   true
1458
  
1459
  
1460
   int
1461
   1
1462
   false
1463
   true
1464
   false
1465
   true
1466
  
1467
  
1468
   int
1469
   1
1470
   false
1471
   true
1472
   false
1473
   true
1474
  
1475
  
1476
   int
1477
   1
1478
   false
1479
   true
1480
   false
1481
   true
1482
  
1483
  
1484
   int
1485
   1
1486
   false
1487
   true
1488
   false
1489
   true
1490
  
1491
  
1492
   int
1493
   1
1494
   false
1495
   true
1496
   false
1497
   true
1498
  
1499
  
1500
   int
1501
   1
1502
   false
1503
   true
1504
   false
1505
   true
1506
  
1507
  
1508
   int
1509
   1
1510
   false
1511
   true
1512
   false
1513
   true
1514
  
1515
  
1516
   int
1517
   1
1518
   false
1519
   true
1520
   false
1521
   true
1522
  
1523
  
1524
   java.lang.String
1525
   ]]>
1526
   false
1527
   true
1528
   false
1529
   true
1530
  
1531
  
1532
   java.lang.String
1533
   ]]>
1534
   false
1535
   true
1536
   false
1537
   true
1538
  
1539
  
1540
   long
1541
   50000000
1542
   false
1543
   true
1544
   false
1545
   true
1546
  
1547
  
1548
   java.lang.String
1549
   CYCLONEIII
1550
   false
1551
   true
1552
   false
1553
   true
1554
  
1555
  
1556
   long
1557
   63
1558
   false
1559
   true
1560
   false
1561
   true
1562
  
1563
  
1564
   java.lang.String
1565
   ]]>
1566
   false
1567
   true
1568
   false
1569
   true
1570
  
1571
  
1572
   java.lang.String
1573
   ADDRESS_STALL 1 ADVANCED_INFO 0 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 1 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 1 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 0 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1
1574
   false
1575
   true
1576
   false
1577
   true
1578
  
1579
  
1580
   java.lang.String
1581
   
1582
   false
1583
   true
1584
   false
1585
   true
1586
  
1587
  
1588
   java.lang.String
1589
   
1590
   false
1591
   true
1592
   false
1593
   true
1594
  
1595
  
1596
   java.lang.String
1597
   
1598
   false
1599
   true
1600
   false
1601
   true
1602
  
1603
  
1604
   java.lang.String
1605
   
1606
   false
1607
   true
1608
   false
1609
   true
1610
  
1611
  
1612
   java.lang.String
1613
   
1614
   false
1615
   true
1616
   false
1617
   true
1618
  
1619
  
1620
   java.lang.String
1621
   
1622
   false
1623
   true
1624
   false
1625
   true
1626
  
1627
  
1628
   java.lang.String
1629
   
1630
   false
1631
   true
1632
   false
1633
   true
1634
  
1635
  
1636
   java.lang.String
1637
   
1638
   false
1639
   true
1640
   false
1641
   true
1642
  
1643
  
1644
   java.lang.String
1645
   UNKNOWN
1646
   false
1647
   true
1648
   true
1649
   true
1650
  
1651
  
1652
   boolean
1653
   false
1654
   false
1655
   true
1656
   true
1657
   true
1658
  
1659
  
1660
   
1663
   
1664
    boolean
1665
    false
1666
    false
1667
    true
1668
    false
1669
    true
1670
   
1671
   
1672
    java.lang.String
1673
    
1674
    false
1675
    true
1676
    false
1677
    true
1678
   
1679
   
1680
    java.lang.String
1681
    UNKNOWN
1682
    false
1683
    true
1684
    true
1685
    true
1686
   
1687
   
1688
    boolean
1689
    false
1690
    false
1691
    true
1692
    true
1693
    true
1694
   
1695
   
1696
    java.lang.Boolean
1697
    true
1698
    true
1699
    true
1700
    false
1701
    true
1702
   
1703
   
1704
    java.lang.Long
1705
    50000000
1706
    true
1707
    true
1708
    false
1709
    true
1710
   
1711
   clock
1712
   false
1713
   
1714
    clk
1715
    Input
1716
    1
1717
    clk
1718
   
1719
  
1720
  
1721
   
1724
   
1725
    java.lang.String
1726
    clk
1727
    false
1728
    true
1729
    true
1730
    true
1731
   
1732
   
1733
    com.altera.sopcmodel.reset.Reset$Edges
1734
    DEASSERT
1735
    false
1736
    true
1737
    true
1738
    true
1739
   
1740
   
1741
    java.lang.String
1742
    UNKNOWN
1743
    false
1744
    true
1745
    true
1746
    true
1747
   
1748
   
1749
    boolean
1750
    false
1751
    false
1752
    true
1753
    true
1754
    true
1755
   
1756
   reset
1757
   false
1758
   
1759
    reset_n
1760
    Input
1761
    1
1762
    reset_n
1763
   
1764
   
1765
    reset_req
1766
    Input
1767
    1
1768
    reset_req
1769
   
1770
  
1771
  
1772
   
1775
   
1776
    debug.providesServices
1777
    master
1778
   
1779
   
1780
    com.altera.entityinterfaces.IConnectionPoint
1781
    
1782
    false
1783
    true
1784
    false
1785
    true
1786
   
1787
   
1788
    int
1789
    1
1790
    false
1791
    true
1792
    false
1793
    true
1794
   
1795
   
1796
    com.altera.sopcmodel.avalon.EAddrBurstUnits
1797
    SYMBOLS
1798
    false
1799
    true
1800
    true
1801
    true
1802
   
1803
   
1804
    boolean
1805
    false
1806
    false
1807
    true
1808
    false
1809
    true
1810
   
1811
   
1812
    java.lang.String
1813
    clk
1814
    false
1815
    true
1816
    true
1817
    true
1818
   
1819
   
1820
    java.lang.String
1821
    reset_n
1822
    false
1823
    true
1824
    true
1825
    true
1826
   
1827
   
1828
    int
1829
    8
1830
    false
1831
    true
1832
    true
1833
    true
1834
   
1835
   
1836
    boolean
1837
    true
1838
    false
1839
    true
1840
    true
1841
    true
1842
   
1843
   
1844
    com.altera.sopcmodel.avalon.EAddrBurstUnits
1845
    WORDS
1846
    false
1847
    true
1848
    true
1849
    true
1850
   
1851
   
1852
    boolean
1853
    false
1854
    false
1855
    true
1856
    false
1857
    true
1858
   
1859
   
1860
    boolean
1861
    false
1862
    false
1863
    true
1864
    false
1865
    true
1866
   
1867
   
1868
    boolean
1869
    false
1870
    false
1871
    true
1872
    true
1873
    true
1874
   
1875
   
1876
    boolean
1877
    false
1878
    false
1879
    true
1880
    true
1881
    true
1882
   
1883
   
1884
    int
1885
    0
1886
    false
1887
    true
1888
    true
1889
    true
1890
   
1891
   
1892
    boolean
1893
    false
1894
    false
1895
    true
1896
    false
1897
    true
1898
   
1899
   
1900
    boolean
1901
    false
1902
    false
1903
    true
1904
    false
1905
    true
1906
   
1907
   
1908
    boolean
1909
    false
1910
    false
1911
    true
1912
    false
1913
    true
1914
   
1915
   
1916
    boolean
1917
    false
1918
    false
1919
    true
1920
    false
1921
    true
1922
   
1923
   
1924
    boolean
1925
    false
1926
    false
1927
    true
1928
    false
1929
    true
1930
   
1931
   
1932
    boolean
1933
    false
1934
    false
1935
    true
1936
    true
1937
    true
1938
   
1939
   
1940
    int
1941
    32
1942
    false
1943
    true
1944
    false
1945
    true
1946
   
1947
   
1948
    int
1949
    0
1950
    false
1951
    true
1952
    true
1953
    true
1954
   
1955
   
1956
    int
1957
    0
1958
    false
1959
    true
1960
    false
1961
    true
1962
   
1963
   
1964
    int
1965
    0
1966
    false
1967
    true
1968
    true
1969
    true
1970
   
1971
   
1972
    int
1973
    1
1974
    false
1975
    true
1976
    true
1977
    true
1978
   
1979
   
1980
    boolean
1981
    false
1982
    false
1983
    true
1984
    false
1985
    true
1986
   
1987
   
1988
    boolean
1989
    false
1990
    false
1991
    true
1992
    false
1993
    true
1994
   
1995
   
1996
    int
1997
    0
1998
    false
1999
    true
2000
    true
2001
    true
2002
   
2003
   
2004
    com.altera.sopcmodel.avalon.TimingUnits
2005
    Cycles
2006
    false
2007
    true
2008
    true
2009
    true
2010
   
2011
   
2012
    int
2013
    0
2014
    false
2015
    true
2016
    true
2017
    true
2018
   
2019
   
2020
    java.lang.String
2021
    UNKNOWN
2022
    false
2023
    true
2024
    true
2025
    true
2026
   
2027
   
2028
    boolean
2029
    false
2030
    false
2031
    true
2032
    true
2033
    true
2034
   
2035
   avalon
2036
   true
2037
   
2038
    d_address
2039
    Output
2040
    26
2041
    address
2042
   
2043
   
2044
    d_byteenable
2045
    Output
2046
    4
2047
    byteenable
2048
   
2049
   
2050
    d_read
2051
    Output
2052
    1
2053
    read
2054
   
2055
   
2056
    d_readdata
2057
    Input
2058
    32
2059
    readdata
2060
   
2061
   
2062
    d_waitrequest
2063
    Input
2064
    1
2065
    waitrequest
2066
   
2067
   
2068
    d_write
2069
    Output
2070
    1
2071
    write
2072
   
2073
   
2074
    d_writedata
2075
    Output
2076
    32
2077
    writedata
2078
   
2079
   
2080
    d_readdatavalid
2081
    Input
2082
    1
2083
    readdatavalid
2084
   
2085
   
2086
    jtag_debug_module_debugaccess_to_roms
2087
    Output
2088
    1
2089
    debugaccess
2090
   
2091
   
2092
    false
2093
    new_sdram_controller_0
2094
    s1
2095
    new_sdram_controller_0.s1
2096
    33554432
2097
    8388608
2098
   
2099
   
2100
    false
2101
    sysid_qsys_0
2102
    control_slave
2103
    sysid_qsys_0.control_slave
2104
    0
2105
    8
2106
   
2107
   
2108
    false
2109
    jtag_uart_0
2110
    avalon_jtag_slave
2111
    jtag_uart_0.avalon_jtag_slave
2112
    8
2113
    8
2114
   
2115
   
2116
    false
2117
    nios2_qsys_0
2118
    jtag_debug_module
2119
    nios2_qsys_0.jtag_debug_module
2120
    2048
2121
    2048
2122
   
2123
   
2124
    false
2125
    pio_0
2126
    s1
2127
    pio_0.s1
2128
    16
2129
    16
2130
   
2131
   
2132
    false
2133
    timer_0
2134
    s1
2135
    timer_0.s1
2136
    32
2137
    32
2138
   
2139
   
2140
    false
2141
    epcs_flash_controller_0
2142
    epcs_control_port
2143
    epcs_flash_controller_0.epcs_control_port
2144
    6144
2145
    2048
2146
   
2147
   
2148
    false
2149
    altpll_0
2150
    pll_slave
2151
    altpll_0.pll_slave
2152
    128
2153
    16
2154
   
2155
   
2156
    false
2157
    fm_3d_wrapper_0
2158
    avalon_slave
2159
    fm_3d_wrapper_0.avalon_slave
2160
    8192
2161
    256
2162
   
2163
   
2164
    false
2165
    fm_vga_wrapper_0
2166
    avalon_slave
2167
    fm_vga_wrapper_0.avalon_slave
2168
    5120
2169
    64
2170
   
2171
  
2172
  
2173
   
2176
   
2177
    com.altera.entityinterfaces.IConnectionPoint
2178
    
2179
    false
2180
    true
2181
    false
2182
    true
2183
   
2184
   
2185
    int
2186
    1
2187
    false
2188
    true
2189
    false
2190
    true
2191
   
2192
   
2193
    com.altera.sopcmodel.avalon.EAddrBurstUnits
2194
    SYMBOLS
2195
    false
2196
    true
2197
    true
2198
    true
2199
   
2200
   
2201
    boolean
2202
    true
2203
    false
2204
    true
2205
    false
2206
    true
2207
   
2208
   
2209
    java.lang.String
2210
    clk
2211
    false
2212
    true
2213
    true
2214
    true
2215
   
2216
   
2217
    java.lang.String
2218
    reset_n
2219
    false
2220
    true
2221
    true
2222
    true
2223
   
2224
   
2225
    int
2226
    8
2227
    false
2228
    true
2229
    true
2230
    true
2231
   
2232
   
2233
    boolean
2234
    false
2235
    false
2236
    true
2237
    true
2238
    true
2239
   
2240
   
2241
    com.altera.sopcmodel.avalon.EAddrBurstUnits
2242
    WORDS
2243
    false
2244
    true
2245
    true
2246
    true
2247
   
2248
   
2249
    boolean
2250
    false
2251
    false
2252
    true
2253
    false
2254
    true
2255
   
2256
   
2257
    boolean
2258
    false
2259
    false
2260
    true
2261
    false
2262
    true
2263
   
2264
   
2265
    boolean
2266
    false
2267
    false
2268
    true
2269
    true
2270
    true
2271
   
2272
   
2273
    boolean
2274
    false
2275
    false
2276
    true
2277
    true
2278
    true
2279
   
2280
   
2281
    int
2282
    0
2283
    false
2284
    true
2285
    true
2286
    true
2287
   
2288
   
2289
    boolean
2290
    false
2291
    false
2292
    true
2293
    false
2294
    true
2295
   
2296
   
2297
    boolean
2298
    false
2299
    false
2300
    true
2301
    false
2302
    true
2303
   
2304
   
2305
    boolean
2306
    false
2307
    false
2308
    true
2309
    false
2310
    true
2311
   
2312
   
2313
    boolean
2314
    false
2315
    false
2316
    true
2317
    false
2318
    true
2319
   
2320
   
2321
    boolean
2322
    false
2323
    false
2324
    true
2325
    false
2326
    true
2327
   
2328
   
2329
    boolean
2330
    true
2331
    false
2332
    true
2333
    true
2334
    true
2335
   
2336
   
2337
    int
2338
    32
2339
    false
2340
    true
2341
    false
2342
    true
2343
   
2344
   
2345
    int
2346
    0
2347
    false
2348
    true
2349
    true
2350
    true
2351
   
2352
   
2353
    int
2354
    0
2355
    false
2356
    true
2357
    false
2358
    true
2359
   
2360
   
2361
    int
2362
    0
2363
    false
2364
    true
2365
    true
2366
    true
2367
   
2368
   
2369
    int
2370
    1
2371
    false
2372
    true
2373
    true
2374
    true
2375
   
2376
   
2377
    boolean
2378
    false
2379
    false
2380
    true
2381
    false
2382
    true
2383
   
2384
   
2385
    boolean
2386
    false
2387
    false
2388
    true
2389
    false
2390
    true
2391
   
2392
   
2393
    int
2394
    0
2395
    false
2396
    true
2397
    true
2398
    true
2399
   
2400
   
2401
    com.altera.sopcmodel.avalon.TimingUnits
2402
    Cycles
2403
    false
2404
    true
2405
    true
2406
    true
2407
   
2408
   
2409
    int
2410
    0
2411
    false
2412
    true
2413
    true
2414
    true
2415
   
2416
   
2417
    java.lang.String
2418
    UNKNOWN
2419
    false
2420
    true
2421
    true
2422
    true
2423
   
2424
   
2425
    boolean
2426
    false
2427
    false
2428
    true
2429
    true
2430
    true
2431
   
2432
   avalon
2433
   true
2434
   
2435
    i_address
2436
    Output
2437
    26
2438
    address
2439
   
2440
   
2441
    i_read
2442
    Output
2443
    1
2444
    read
2445
   
2446
   
2447
    i_readdata
2448
    Input
2449
    32
2450
    readdata
2451
   
2452
   
2453
    i_waitrequest
2454
    Input
2455
    1
2456
    waitrequest
2457
   
2458
   
2459
    i_readdatavalid
2460
    Input
2461
    1
2462
    readdatavalid
2463
   
2464
   
2465
    false
2466
    nios2_qsys_0
2467
    jtag_debug_module
2468
    nios2_qsys_0.jtag_debug_module
2469
    2048
2470
    2048
2471
   
2472
   
2473
    false
2474
    epcs_flash_controller_0
2475
    epcs_control_port
2476
    epcs_flash_controller_0.epcs_control_port
2477
    6144
2478
    2048
2479
   
2480
   
2481
    false
2482
    new_sdram_controller_0
2483
    s1
2484
    new_sdram_controller_0.s1
2485
    33554432
2486
    8388608
2487
   
2488
  
2489
  
2490
   
2493
   
2494
    com.altera.entityinterfaces.IConnectionPoint
2495
    nios2_qsys_0.data_master
2496
    false
2497
    true
2498
    true
2499
    true
2500
   
2501
   
2502
    java.lang.String
2503
    clk
2504
    false
2505
    true
2506
    false
2507
    true
2508
   
2509
   
2510
    java.lang.String
2511
    reset_n
2512
    false
2513
    true
2514
    false
2515
    true
2516
   
2517
   
2518
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
2519
    INDIVIDUAL_REQUESTS
2520
    false
2521
    true
2522
    true
2523
    true
2524
   
2525
   
2526
    java.lang.String
2527
    UNKNOWN
2528
    false
2529
    true
2530
    true
2531
    true
2532
   
2533
   
2534
    boolean
2535
    false
2536
    false
2537
    true
2538
    true
2539
    true
2540
   
2541
   interrupt
2542
   true
2543
   
2544
    d_irq
2545
    Input
2546
    32
2547
    irq
2548
   
2549
   
2550
    false
2551
    jtag_uart_0
2552
    irq
2553
    jtag_uart_0.irq
2554
    0
2555
   
2556
   
2557
    false
2558
    timer_0
2559
    irq
2560
    timer_0.irq
2561
    1
2562
   
2563
   
2564
    false
2565
    pio_0
2566
    irq
2567
    pio_0.irq
2568
    2
2569
   
2570
   
2571
    false
2572
    epcs_flash_controller_0
2573
    irq
2574
    epcs_flash_controller_0.irq
2575
    3
2576
   
2577
   
2578
    false
2579
    fm_3d_wrapper_0
2580
    interrupt_sender
2581
    fm_3d_wrapper_0.interrupt_sender
2582
    4
2583
   
2584
   
2585
    false
2586
    fm_vga_wrapper_0
2587
    interrupt_sender
2588
    fm_vga_wrapper_0.interrupt_sender
2589
    5
2590
   
2591
  
2592
  
2593
   
2596
   
2597
    java.lang.String
2598
    clk
2599
    false
2600
    true
2601
    true
2602
    true
2603
   
2604
   
2605
    java.lang.String
2606
    
2607
    false
2608
    true
2609
    true
2610
    true
2611
   
2612
   
2613
    [Ljava.lang.String;
2614
    none
2615
    false
2616
    true
2617
    true
2618
    true
2619
   
2620
   
2621
    com.altera.sopcmodel.reset.Reset$Edges
2622
    DEASSERT
2623
    false
2624
    true
2625
    true
2626
    true
2627
   
2628
   
2629
    java.lang.String
2630
    UNKNOWN
2631
    false
2632
    true
2633
    true
2634
    true
2635
   
2636
   
2637
    boolean
2638
    false
2639
    false
2640
    true
2641
    true
2642
    true
2643
   
2644
   reset
2645
   true
2646
   
2647
    jtag_debug_module_resetrequest
2648
    Output
2649
    1
2650
    reset
2651
   
2652
  
2653
  
2654
   
2657
   
2658
    embeddedsw.configuration.hideDevice
2659
    1
2660
   
2661
   
2662
    embeddedsw.configuration.isFlash
2663
    0
2664
   
2665
   
2666
    embeddedsw.configuration.isMemoryDevice
2667
    1
2668
   
2669
   
2670
    embeddedsw.configuration.isNonVolatileStorage
2671
    0
2672
   
2673
   
2674
    embeddedsw.configuration.isPrintableDevice
2675
    0
2676
   
2677
   
2678
    qsys.ui.connect
2679
    instruction_master,data_master
2680
   
2681
   
2682
    com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment
2683
    DYNAMIC
2684
    false
2685
    true
2686
    false
2687
    true
2688
   
2689
   
2690
    int
2691
    0
2692
    false
2693
    true
2694
    false
2695
    true
2696
   
2697
   
2698
    java.math.BigInteger
2699
    2048
2700
    true
2701
    true
2702
    false
2703
    true
2704
   
2705
   
2706
    com.altera.sopcmodel.avalon.EAddrBurstUnits
2707
    WORDS
2708
    false
2709
    true
2710
    true
2711
    true
2712
   
2713
   
2714
    boolean
2715
    false
2716
    false
2717
    true
2718
    false
2719
    true
2720
   
2721
   
2722
    java.lang.String
2723
    clk
2724
    false
2725
    true
2726
    true
2727
    true
2728
   
2729
   
2730
    java.lang.String
2731
    reset_n
2732
    false
2733
    true
2734
    true
2735
    true
2736
   
2737
   
2738
    int
2739
    8
2740
    false
2741
    true
2742
    true
2743
    true
2744
   
2745
   
2746
    com.altera.entityinterfaces.IConnectionPoint
2747
    
2748
    false
2749
    true
2750
    false
2751
    true
2752
   
2753
   
2754
    boolean
2755
    false
2756
    false
2757
    true
2758
    true
2759
    true
2760
   
2761
   
2762
    com.altera.sopcmodel.avalon.EAddrBurstUnits
2763
    WORDS
2764
    false
2765
    true
2766
    true
2767
    true
2768
   
2769
   
2770
    boolean
2771
    false
2772
    false
2773
    true
2774
    false
2775
    true
2776
   
2777
   
2778
    java.math.BigInteger
2779
    0
2780
    false
2781
    true
2782
    true
2783
    true
2784
   
2785
   
2786
    int
2787
    0
2788
    false
2789
    false
2790
    true
2791
    true
2792
   
2793
   
2794
    boolean
2795
    false
2796
    false
2797
    true
2798
    false
2799
    true
2800
   
2801
   
2802
    boolean
2803
    false
2804
    false
2805
    true
2806
    false
2807
    true
2808
   
2809
   
2810
    boolean
2811
    false
2812
    false
2813
    true
2814
    false
2815
    true
2816
   
2817
   
2818
    boolean
2819
    true
2820
    false
2821
    true
2822
    false
2823
    true
2824
   
2825
   
2826
    boolean
2827
    false
2828
    false
2829
    true
2830
    false
2831
    true
2832
   
2833
   
2834
    boolean
2835
    false
2836
    false
2837
    true
2838
    true
2839
    true
2840
   
2841
   
2842
    int
2843
    0
2844
    false
2845
    false
2846
    true
2847
    true
2848
   
2849
   
2850
    int
2851
    0
2852
    false
2853
    false
2854
    false
2855
    true
2856
   
2857
   
2858
    int
2859
    1
2860
    false
2861
    true
2862
    false
2863
    true
2864
   
2865
   
2866
    boolean
2867
    false
2868
    false
2869
    true
2870
    false
2871
    true
2872
   
2873
   
2874
    int
2875
    0
2876
    false
2877
    true
2878
    true
2879
    true
2880
   
2881
   
2882
    int
2883
    1
2884
    false
2885
    true
2886
    false
2887
    true
2888
   
2889
   
2890
    int
2891
    1
2892
    false
2893
    false
2894
    true
2895
    true
2896
   
2897
   
2898
    boolean
2899
    true
2900
    false
2901
    true
2902
    false
2903
    true
2904
   
2905
   
2906
    boolean
2907
    false
2908
    false
2909
    true
2910
    false
2911
    true
2912
   
2913
   
2914
    int
2915
    0
2916
    false
2917
    false
2918
    true
2919
    true
2920
   
2921
   
2922
    com.altera.sopcmodel.avalon.TimingUnits
2923
    Cycles
2924
    false
2925
    false
2926
    true
2927
    true
2928
   
2929
   
2930
    boolean
2931
    false
2932
    false
2933
    true
2934
    false
2935
    true
2936
   
2937
   
2938
    boolean
2939
    false
2940
    false
2941
    true
2942
    false
2943
    true
2944
   
2945
   
2946
    int
2947
    0
2948
    false
2949
    true
2950
    false
2951
    true
2952
   
2953
   
2954
    int
2955
    0
2956
    false
2957
    true
2958
    false
2959
    true
2960
   
2961
   
2962
    int
2963
    0
2964
    false
2965
    false
2966
    true
2967
    true
2968
   
2969
   
2970
    java.lang.String
2971
    UNKNOWN
2972
    false
2973
    true
2974
    true
2975
    true
2976
   
2977
   
2978
    boolean
2979
    false
2980
    false
2981
    true
2982
    true
2983
    true
2984
   
2985
   avalon
2986
   false
2987
   
2988
    jtag_debug_module_address
2989
    Input
2990
    9
2991
    address
2992
   
2993
   
2994
    jtag_debug_module_byteenable
2995
    Input
2996
    4
2997
    byteenable
2998
   
2999
   
3000
    jtag_debug_module_debugaccess
3001
    Input
3002
    1
3003
    debugaccess
3004
   
3005
   
3006
    jtag_debug_module_read
3007
    Input
3008
    1
3009
    read
3010
   
3011
   
3012
    jtag_debug_module_readdata
3013
    Output
3014
    32
3015
    readdata
3016
   
3017
   
3018
    jtag_debug_module_waitrequest
3019
    Output
3020
    1
3021
    waitrequest
3022
   
3023
   
3024
    jtag_debug_module_write
3025
    Input
3026
    1
3027
    write
3028
   
3029
   
3030
    jtag_debug_module_writedata
3031
    Input
3032
    32
3033
    writedata
3034
   
3035
  
3036
  
3037
     name="custom_instruction_master"
3038
     kind="nios_custom_instruction_master"
3039
     version="13.1">
3040
   
3043
   
3044
    java.lang.String
3045
    
3046
    true
3047
    true
3048
    false
3049
    true
3050
   
3051
   
3052
    int
3053
    8
3054
    false
3055
    true
3056
    false
3057
    true
3058
   
3059
   
3060
    int
3061
    0
3062
    false
3063
    false
3064
    true
3065
    true
3066
   
3067
   
3068
    boolean
3069
    false
3070
    false
3071
    true
3072
    false
3073
    true
3074
   
3075
   
3076
    int
3077
    8
3078
    false
3079
    true
3080
    false
3081
    true
3082
   
3083
   
3084
    int
3085
    0
3086
    true
3087
    true
3088
    false
3089
    true
3090
   
3091
   
3092
    boolean
3093
    false
3094
    false
3095
    true
3096
    false
3097
    true
3098
   
3099
   
3100
    java.lang.String
3101
    UNKNOWN
3102
    false
3103
    true
3104
    true
3105
    true
3106
   
3107
   
3108
    boolean
3109
    false
3110
    false
3111
    true
3112
    true
3113
    true
3114
   
3115
   nios_custom_instruction
3116
   true
3117
   
3118
    no_ci_readra
3119
    Output
3120
    1
3121
    readra
3122
   
3123
  
3124
 
3125
 
3126
   name="new_sdram_controller_0"
3127
   kind="altera_avalon_new_sdram_controller"
3128
   version="13.1"
3129
   path="new_sdram_controller_0">
3130
  
3132
  
3133
   embeddedsw.CMacro.CAS_LATENCY
3134
   2
3135
  
3136
  
3137
   embeddedsw.CMacro.CONTENTS_INFO
3138
   
3139
  
3140
  
3141
   embeddedsw.CMacro.INIT_NOP_DELAY
3142
   0.0
3143
  
3144
  
3145
   embeddedsw.CMacro.INIT_REFRESH_COMMANDS
3146
   2
3147
  
3148
  
3149
   embeddedsw.CMacro.IS_INITIALIZED
3150
   1
3151
  
3152
  
3153
   embeddedsw.CMacro.POWERUP_DELAY
3154
   100.0
3155
  
3156
  
3157
   embeddedsw.CMacro.REFRESH_PERIOD
3158
   15.625
3159
  
3160
  
3161
   embeddedsw.CMacro.REGISTER_DATA_IN
3162
   1
3163
  
3164
  
3165
   embeddedsw.CMacro.SDRAM_ADDR_WIDTH
3166
   22
3167
  
3168
  
3169
   embeddedsw.CMacro.SDRAM_BANK_WIDTH
3170
   2
3171
  
3172
  
3173
   embeddedsw.CMacro.SDRAM_COL_WIDTH
3174
   8
3175
  
3176
  
3177
   embeddedsw.CMacro.SDRAM_DATA_WIDTH
3178
   16
3179
  
3180
  
3181
   embeddedsw.CMacro.SDRAM_NUM_BANKS
3182
   4
3183
  
3184
  
3185
   embeddedsw.CMacro.SDRAM_NUM_CHIPSELECTS
3186
   1
3187
  
3188
  
3189
   embeddedsw.CMacro.SDRAM_ROW_WIDTH
3190
   12
3191
  
3192
  
3193
   embeddedsw.CMacro.SHARED_DATA
3194
   0
3195
  
3196
  
3197
   embeddedsw.CMacro.SIM_MODEL_BASE
3198
   1
3199
  
3200
  
3201
   embeddedsw.CMacro.STARVATION_INDICATOR
3202
   0
3203
  
3204
  
3205
   embeddedsw.CMacro.TRISTATE_BRIDGE_SLAVE
3206
   ""
3207
  
3208
  
3209
   embeddedsw.CMacro.T_AC
3210
   5.5
3211
  
3212
  
3213
   embeddedsw.CMacro.T_MRD
3214
   3
3215
  
3216
  
3217
   embeddedsw.CMacro.T_RCD
3218
   20.0
3219
  
3220
  
3221
   embeddedsw.CMacro.T_RFC
3222
   70.0
3223
  
3224
  
3225
   embeddedsw.CMacro.T_RP
3226
   20.0
3227
  
3228
  
3229
   embeddedsw.CMacro.T_WR
3230
   14.0
3231
  
3232
  
3233
   embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR
3234
   SIM_DIR
3235
  
3236
  
3237
   embeddedsw.memoryInfo.GENERATE_DAT_SYM
3238
   1
3239
  
3240
  
3241
   embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH
3242
   16
3243
  
3244
  
3245
   postgeneration.simulation.init_file.param_name
3246
   INIT_FILE
3247
  
3248
  
3249
   postgeneration.simulation.init_file.param_owner
3250
   wire
3251
  
3252
  
3253
   postgeneration.simulation.init_file.type
3254
   MEM_INIT
3255
  
3256
  
3257
   testbench.partner.map.clk
3258
   my_partner.clk
3259
  
3260
  
3261
   testbench.partner.map.wire
3262
   my_partner.conduit
3263
  
3264
  
3265
   testbench.partner.my_partner.class
3266
   altera_sdram_partner_module
3267
  
3268
  
3269
   testbench.partner.my_partner.parameter.CAS_LATENCY
3270
   2
3271
  
3272
  
3273
   testbench.partner.my_partner.parameter.CONTR_NAME
3274
   d3d_system_new_sdram_controller_0
3275
  
3276
  
3277
   testbench.partner.my_partner.parameter.SDRAM_BANK_WIDTH
3278
   2
3279
  
3280
  
3281
   testbench.partner.my_partner.parameter.SDRAM_COL_WIDTH
3282
   8
3283
  
3284
  
3285
   testbench.partner.my_partner.parameter.SDRAM_DATA_WIDTH
3286
   16
3287
  
3288
  
3289
   testbench.partner.my_partner.parameter.SDRAM_NUM_CHIPSELECTS
3290
   1
3291
  
3292
  
3293
   testbench.partner.my_partner.parameter.SDRAM_ROW_WIDTH
3294
   12
3295
  
3296
  
3297
   double
3298
   5.5
3299
   false
3300
   true
3301
   true
3302
   true
3303
  
3304
  
3305
   double
3306
   20.0
3307
   false
3308
   true
3309
   true
3310
   true
3311
  
3312
  
3313
   double
3314
   70.0
3315
   false
3316
   true
3317
   true
3318
   true
3319
  
3320
  
3321
   double
3322
   20.0
3323
   false
3324
   true
3325
   true
3326
   true
3327
  
3328
  
3329
   double
3330
   14.0
3331
   false
3332
   true
3333
   true
3334
   true
3335
  
3336
  
3337
   int
3338
   2
3339
   false
3340
   true
3341
   true
3342
   true
3343
  
3344
  
3345
   int
3346
   8
3347
   false
3348
   true
3349
   true
3350
   true
3351
  
3352
  
3353
   int
3354
   16
3355
   false
3356
   true
3357
   true
3358
   true
3359
  
3360
  
3361
   boolean
3362
   true
3363
   false
3364
   true
3365
   true
3366
   true
3367
  
3368
  
3369
   int
3370
   2
3371
   false
3372
   true
3373
   true
3374
   true
3375
  
3376
  
3377
   java.lang.String
3378
   single_Micron_MT48LC4M32B2_7_chip
3379
   false
3380
   true
3381
   false
3382
   true
3383
  
3384
  
3385
   int
3386
   4
3387
   false
3388
   true
3389
   true
3390
   true
3391
  
3392
  
3393
   int
3394
   1
3395
   false
3396
   true
3397
   true
3398
   true
3399
  
3400
  
3401
   boolean
3402
   false
3403
   false
3404
   true
3405
   false
3406
   true
3407
  
3408
  
3409
   double
3410
   100.0
3411
   false
3412
   true
3413
   true
3414
   true
3415
  
3416
  
3417
   double
3418
   15.625
3419
   false
3420
   true
3421
   true
3422
   true
3423
  
3424
  
3425
   int
3426
   12
3427
   false
3428
   true
3429
   true
3430
   true
3431
  
3432
  
3433
   int
3434
   0
3435
   false
3436
   false
3437
   false
3438
   true
3439
  
3440
  
3441
   long
3442
   3
3443
   false
3444
   true
3445
   false
3446
   true
3447
  
3448
  
3449
   double
3450
   0.0
3451
   false
3452
   true
3453
   false
3454
   true
3455
  
3456
  
3457
   boolean
3458
   true
3459
   false
3460
   true
3461
   false
3462
   true
3463
  
3464
  
3465
   long
3466
   50000000
3467
   false
3468
   true
3469
   false
3470
   true
3471
  
3472
  
3473
   java.lang.String
3474
   d3d_system_new_sdram_controller_0
3475
   false
3476
   true
3477
   false
3478
   true
3479
  
3480
  
3481
   long
3482
   8388608
3483
   true
3484
   true
3485
   false
3486
   true
3487
  
3488
  
3489
   int
3490
   22
3491
   true
3492
   true
3493
   false
3494
   true
3495
  
3496
  
3497
   int
3498
   2
3499
   true
3500
   true
3501
   false
3502
   true
3503
  
3504
  
3505
   java.lang.String
3506
   UNKNOWN
3507
   false
3508
   true
3509
   true
3510
   true
3511
  
3512
  
3513
   boolean
3514
   false
3515
   false
3516
   true
3517
   true
3518
   true
3519
  
3520
  
3521
   
3524
   
3525
    boolean
3526
    false
3527
    false
3528
    true
3529
    false
3530
    true
3531
   
3532
   
3533
    java.lang.String
3534
    
3535
    false
3536
    true
3537
    false
3538
    true
3539
   
3540
   
3541
    java.lang.String
3542
    UNKNOWN
3543
    false
3544
    true
3545
    true
3546
    true
3547
   
3548
   
3549
    boolean
3550
    false
3551
    false
3552
    true
3553
    true
3554
    true
3555
   
3556
   
3557
    java.lang.Boolean
3558
    true
3559
    true
3560
    true
3561
    false
3562
    true
3563
   
3564
   
3565
    java.lang.Long
3566
    50000000
3567
    true
3568
    true
3569
    false
3570
    true
3571
   
3572
   clock
3573
   false
3574
   
3575
    clk
3576
    Input
3577
    1
3578
    clk
3579
   
3580
  
3581
  
3582
   
3585
   
3586
    java.lang.String
3587
    clk
3588
    false
3589
    true
3590
    true
3591
    true
3592
   
3593
   
3594
    com.altera.sopcmodel.reset.Reset$Edges
3595
    DEASSERT
3596
    false
3597
    true
3598
    true
3599
    true
3600
   
3601
   
3602
    java.lang.String
3603
    UNKNOWN
3604
    false
3605
    true
3606
    true
3607
    true
3608
   
3609
   
3610
    boolean
3611
    false
3612
    false
3613
    true
3614
    true
3615
    true
3616
   
3617
   reset
3618
   false
3619
   
3620
    reset_n
3621
    Input
3622
    1
3623
    reset_n
3624
   
3625
  
3626
  
3627
   
3630
   
3631
    embeddedsw.configuration.isFlash
3632
    0
3633
   
3634
   
3635
    embeddedsw.configuration.isMemoryDevice
3636
    1
3637
   
3638
   
3639
    embeddedsw.configuration.isNonVolatileStorage
3640
    0
3641
   
3642
   
3643
    embeddedsw.configuration.isPrintableDevice
3644
    0
3645
   
3646
   
3647
    com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment
3648
    DYNAMIC
3649
    false
3650
    true
3651
    false
3652
    true
3653
   
3654
   
3655
    int
3656
    0
3657
    false
3658
    true
3659
    false
3660
    true
3661
   
3662
   
3663
    java.math.BigInteger
3664
    8388608
3665
    true
3666
    true
3667
    false
3668
    true
3669
   
3670
   
3671
    com.altera.sopcmodel.avalon.EAddrBurstUnits
3672
    WORDS
3673
    false
3674
    true
3675
    true
3676
    true
3677
   
3678
   
3679
    boolean
3680
    false
3681
    false
3682
    true
3683
    false
3684
    true
3685
   
3686
   
3687
    java.lang.String
3688
    clk
3689
    false
3690
    true
3691
    true
3692
    true
3693
   
3694
   
3695
    java.lang.String
3696
    reset
3697
    false
3698
    true
3699
    true
3700
    true
3701
   
3702
   
3703
    int
3704
    8
3705
    false
3706
    true
3707
    true
3708
    true
3709
   
3710
   
3711
    com.altera.entityinterfaces.IConnectionPoint
3712
    
3713
    false
3714
    true
3715
    false
3716
    true
3717
   
3718
   
3719
    boolean
3720
    false
3721
    false
3722
    true
3723
    true
3724
    true
3725
   
3726
   
3727
    com.altera.sopcmodel.avalon.EAddrBurstUnits
3728
    WORDS
3729
    false
3730
    true
3731
    true
3732
    true
3733
   
3734
   
3735
    boolean
3736
    false
3737
    false
3738
    true
3739
    false
3740
    true
3741
   
3742
   
3743
    java.math.BigInteger
3744
    0
3745
    false
3746
    true
3747
    true
3748
    true
3749
   
3750
   
3751
    int
3752
    0
3753
    false
3754
    false
3755
    true
3756
    true
3757
   
3758
   
3759
    boolean
3760
    false
3761
    false
3762
    true
3763
    false
3764
    true
3765
   
3766
   
3767
    boolean
3768
    false
3769
    false
3770
    true
3771
    false
3772
    true
3773
   
3774
   
3775
    boolean
3776
    false
3777
    false
3778
    true
3779
    false
3780
    true
3781
   
3782
   
3783
    boolean
3784
    true
3785
    false
3786
    true
3787
    false
3788
    true
3789
   
3790
   
3791
    boolean
3792
    false
3793
    false
3794
    true
3795
    false
3796
    true
3797
   
3798
   
3799
    boolean
3800
    false
3801
    false
3802
    true
3803
    true
3804
    true
3805
   
3806
   
3807
    int
3808
    7
3809
    false
3810
    true
3811
    true
3812
    true
3813
   
3814
   
3815
    int
3816
    0
3817
    false
3818
    false
3819
    false
3820
    true
3821
   
3822
   
3823
    int
3824
    1
3825
    false
3826
    true
3827
    false
3828
    true
3829
   
3830
   
3831
    boolean
3832
    false
3833
    false
3834
    true
3835
    false
3836
    true
3837
   
3838
   
3839
    int
3840
    0
3841
    false
3842
    false
3843
    true
3844
    true
3845
   
3846
   
3847
    int
3848
    1
3849
    false
3850
    true
3851
    false
3852
    true
3853
   
3854
   
3855
    int
3856
    1
3857
    false
3858
    false
3859
    true
3860
    true
3861
   
3862
   
3863
    boolean
3864
    false
3865
    false
3866
    true
3867
    false
3868
    true
3869
   
3870
   
3871
    boolean
3872
    false
3873
    false
3874
    true
3875
    false
3876
    true
3877
   
3878
   
3879
    int
3880
    0
3881
    false
3882
    false
3883
    true
3884
    true
3885
   
3886
   
3887
    com.altera.sopcmodel.avalon.TimingUnits
3888
    Cycles
3889
    false
3890
    false
3891
    true
3892
    true
3893
   
3894
   
3895
    boolean
3896
    false
3897
    false
3898
    true
3899
    false
3900
    true
3901
   
3902
   
3903
    boolean
3904
    false
3905
    false
3906
    true
3907
    false
3908
    true
3909
   
3910
   
3911
    int
3912
    0
3913
    false
3914
    true
3915
    false
3916
    true
3917
   
3918
   
3919
    int
3920
    0
3921
    false
3922
    true
3923
    false
3924
    true
3925
   
3926
   
3927
    int
3928
    0
3929
    false
3930
    false
3931
    true
3932
    true
3933
   
3934
   
3935
    java.lang.String
3936
    UNKNOWN
3937
    false
3938
    true
3939
    true
3940
    true
3941
   
3942
   
3943
    boolean
3944
    false
3945
    false
3946
    true
3947
    true
3948
    true
3949
   
3950
   avalon
3951
   false
3952
   
3953
    az_addr
3954
    Input
3955
    22
3956
    address
3957
   
3958
   
3959
    az_be_n
3960
    Input
3961
    2
3962
    byteenable_n
3963
   
3964
   
3965
    az_cs
3966
    Input
3967
    1
3968
    chipselect
3969
   
3970
   
3971
    az_data
3972
    Input
3973
    16
3974
    writedata
3975
   
3976
   
3977
    az_rd_n
3978
    Input
3979
    1
3980
    read_n
3981
   
3982
   
3983
    az_wr_n
3984
    Input
3985
    1
3986
    write_n
3987
   
3988
   
3989
    za_data
3990
    Output
3991
    16
3992
    readdata
3993
   
3994
   
3995
    za_valid
3996
    Output
3997
    1
3998
    readdatavalid
3999
   
4000
   
4001
    za_waitrequest
4002
    Output
4003
    1
4004
    waitrequest
4005
   
4006
  
4007
  
4008
   
4011
   
4012
    java.lang.String
4013
    
4014
    false
4015
    true
4016
    true
4017
    true
4018
   
4019
   
4020
    java.lang.String
4021
    
4022
    false
4023
    true
4024
    true
4025
    true
4026
   
4027
   
4028
    java.lang.String
4029
    UNKNOWN
4030
    false
4031
    true
4032
    true
4033
    true
4034
   
4035
   
4036
    boolean
4037
    false
4038
    false
4039
    true
4040
    true
4041
    true
4042
   
4043
   conduit
4044
   false
4045
   
4046
    zs_addr
4047
    Output
4048
    12
4049
    export
4050
   
4051
   
4052
    zs_ba
4053
    Output
4054
    2
4055
    export
4056
   
4057
   
4058
    zs_cas_n
4059
    Output
4060
    1
4061
    export
4062
   
4063
   
4064
    zs_cke
4065
    Output
4066
    1
4067
    export
4068
   
4069
   
4070
    zs_cs_n
4071
    Output
4072
    1
4073
    export
4074
   
4075
   
4076
    zs_dq
4077
    Bidir
4078
    16
4079
    export
4080
   
4081
   
4082
    zs_dqm
4083
    Output
4084
    2
4085
    export
4086
   
4087
   
4088
    zs_ras_n
4089
    Output
4090
    1
4091
    export
4092
   
4093
   
4094
    zs_we_n
4095
    Output
4096
    1
4097
    export
4098
   
4099
  
4100
 
4101
 
4102
   name="sysid_qsys_0"
4103
   kind="altera_avalon_sysid_qsys"
4104
   version="13.1"
4105
   path="sysid_qsys_0">
4106
  
4108
  
4109
   embeddedsw.CMacro.ID
4110
   0
4111
  
4112
  
4113
   embeddedsw.CMacro.TIMESTAMP
4114
   1443332220
4115
  
4116
  
4117
   embeddedsw.dts.compatible
4118
   altr,sysid-1.0
4119
  
4120
  
4121
   embeddedsw.dts.group
4122
   sysid
4123
  
4124
  
4125
   embeddedsw.dts.name
4126
   sysid
4127
  
4128
  
4129
   embeddedsw.dts.params.id
4130
   0
4131
  
4132
  
4133
   embeddedsw.dts.params.timestamp
4134
   1443332220
4135
  
4136
  
4137
   embeddedsw.dts.vendor
4138
   altr
4139
  
4140
  
4141
   int
4142
   0
4143
   false
4144
   true
4145
   true
4146
   true
4147
  
4148
  
4149
   int
4150
   1443332220
4151
   false
4152
   false
4153
   false
4154
   true
4155
  
4156
  
4157
   java.lang.Long
4158
   50000000
4159
   false
4160
   true
4161
   false
4162
   true
4163
  
4164
  
4165
   java.lang.String
4166
   CYCLONEIII
4167
   false
4168
   true
4169
   false
4170
   true
4171
  
4172
  
4173
   java.lang.String
4174
   Cyclone III
4175
   false
4176
   true
4177
   false
4178
   true
4179
  
4180
  
4181
   boolean
4182
   false
4183
   false
4184
   true
4185
   true
4186
   true
4187
  
4188
  
4189
   
4192
   
4193
    boolean
4194
    false
4195
    false
4196
    true
4197
    false
4198
    true
4199
   
4200
   
4201
    java.lang.String
4202
    
4203
    false
4204
    true
4205
    false
4206
    true
4207
   
4208
   
4209
    java.lang.String
4210
    UNKNOWN
4211
    false
4212
    true
4213
    true
4214
    true
4215
   
4216
   
4217
    boolean
4218
    false
4219
    false
4220
    true
4221
    true
4222
    true
4223
   
4224
   
4225
    java.lang.Boolean
4226
    true
4227
    true
4228
    true
4229
    false
4230
    true
4231
   
4232
   
4233
    java.lang.Long
4234
    50000000
4235
    true
4236
    true
4237
    false
4238
    true
4239
   
4240
   clock
4241
   false
4242
   
4243
    clock
4244
    Input
4245
    1
4246
    clk
4247
   
4248
  
4249
  
4250
   
4253
   
4254
    java.lang.String
4255
    clk
4256
    false
4257
    true
4258
    true
4259
    true
4260
   
4261
   
4262
    com.altera.sopcmodel.reset.Reset$Edges
4263
    DEASSERT
4264
    false
4265
    true
4266
    true
4267
    true
4268
   
4269
   
4270
    java.lang.String
4271
    UNKNOWN
4272
    false
4273
    true
4274
    true
4275
    true
4276
   
4277
   
4278
    boolean
4279
    false
4280
    false
4281
    true
4282
    true
4283
    true
4284
   
4285
   reset
4286
   false
4287
   
4288
    reset_n
4289
    Input
4290
    1
4291
    reset_n
4292
   
4293
  
4294
  
4295
   
4298
   
4299
    embeddedsw.configuration.isFlash
4300
    0
4301
   
4302
   
4303
    embeddedsw.configuration.isMemoryDevice
4304
    0
4305
   
4306
   
4307
    embeddedsw.configuration.isNonVolatileStorage
4308
    0
4309
   
4310
   
4311
    embeddedsw.configuration.isPrintableDevice
4312
    0
4313
   
4314
   
4315
    com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment
4316
    DYNAMIC
4317
    false
4318
    true
4319
    false
4320
    true
4321
   
4322
   
4323
    int
4324
    0
4325
    false
4326
    true
4327
    false
4328
    true
4329
   
4330
   
4331
    java.math.BigInteger
4332
    8
4333
    true
4334
    true
4335
    false
4336
    true
4337
   
4338
   
4339
    com.altera.sopcmodel.avalon.EAddrBurstUnits
4340
    WORDS
4341
    false
4342
    true
4343
    true
4344
    true
4345
   
4346
   
4347
    boolean
4348
    false
4349
    false
4350
    true
4351
    false
4352
    true
4353
   
4354
   
4355
    java.lang.String
4356
    clk
4357
    false
4358
    true
4359
    true
4360
    true
4361
   
4362
   
4363
    java.lang.String
4364
    reset
4365
    false
4366
    true
4367
    true
4368
    true
4369
   
4370
   
4371
    int
4372
    8
4373
    false
4374
    true
4375
    true
4376
    true
4377
   
4378
   
4379
    com.altera.entityinterfaces.IConnectionPoint
4380
    
4381
    false
4382
    true
4383
    false
4384
    true
4385
   
4386
   
4387
    boolean
4388
    false
4389
    false
4390
    true
4391
    true
4392
    true
4393
   
4394
   
4395
    com.altera.sopcmodel.avalon.EAddrBurstUnits
4396
    WORDS
4397
    false
4398
    true
4399
    true
4400
    true
4401
   
4402
   
4403
    boolean
4404
    false
4405
    false
4406
    true
4407
    false
4408
    true
4409
   
4410
   
4411
    java.math.BigInteger
4412
    0
4413
    false
4414
    true
4415
    true
4416
    true
4417
   
4418
   
4419
    int
4420
    0
4421
    false
4422
    true
4423
    true
4424
    true
4425
   
4426
   
4427
    boolean
4428
    false
4429
    false
4430
    true
4431
    false
4432
    true
4433
   
4434
   
4435
    boolean
4436
    false
4437
    false
4438
    true
4439
    false
4440
    true
4441
   
4442
   
4443
    boolean
4444
    false
4445
    false
4446
    true
4447
    false
4448
    true
4449
   
4450
   
4451
    boolean
4452
    false
4453
    false
4454
    true
4455
    false
4456
    true
4457
   
4458
   
4459
    boolean
4460
    false
4461
    false
4462
    true
4463
    false
4464
    true
4465
   
4466
   
4467
    boolean
4468
    false
4469
    false
4470
    true
4471
    true
4472
    true
4473
   
4474
   
4475
    int
4476
    0
4477
    false
4478
    false
4479
    true
4480
    true
4481
   
4482
   
4483
    int
4484
    0
4485
    false
4486
    false
4487
    false
4488
    true
4489
   
4490
   
4491
    int
4492
    1
4493
    false
4494
    true
4495
    false
4496
    true
4497
   
4498
   
4499
    boolean
4500
    false
4501
    false
4502
    true
4503
    false
4504
    true
4505
   
4506
   
4507
    int
4508
    0
4509
    false
4510
    true
4511
    true
4512
    true
4513
   
4514
   
4515
    int
4516
    1
4517
    false
4518
    true
4519
    false
4520
    true
4521
   
4522
   
4523
    int
4524
    1
4525
    false
4526
    true
4527
    true
4528
    true
4529
   
4530
   
4531
    boolean
4532
    false
4533
    false
4534
    true
4535
    false
4536
    true
4537
   
4538
   
4539
    boolean
4540
    false
4541
    false
4542
    true
4543
    false
4544
    true
4545
   
4546
   
4547
    int
4548
    0
4549
    false
4550
    true
4551
    true
4552
    true
4553
   
4554
   
4555
    com.altera.sopcmodel.avalon.TimingUnits
4556
    Cycles
4557
    false
4558
    true
4559
    true
4560
    true
4561
   
4562
   
4563
    boolean
4564
    false
4565
    false
4566
    true
4567
    false
4568
    true
4569
   
4570
   
4571
    boolean
4572
    false
4573
    false
4574
    true
4575
    false
4576
    true
4577
   
4578
   
4579
    int
4580
    0
4581
    false
4582
    true
4583
    false
4584
    true
4585
   
4586
   
4587
    int
4588
    0
4589
    false
4590
    true
4591
    false
4592
    true
4593
   
4594
   
4595
    int
4596
    0
4597
    false
4598
    true
4599
    true
4600
    true
4601
   
4602
   
4603
    java.lang.String
4604
    UNKNOWN
4605
    false
4606
    true
4607
    true
4608
    true
4609
   
4610
   
4611
    boolean
4612
    false
4613
    false
4614
    true
4615
    true
4616
    true
4617
   
4618
   avalon
4619
   false
4620
   
4621
    readdata
4622
    Output
4623
    32
4624
    readdata
4625
   
4626
   
4627
    address
4628
    Input
4629
    1
4630
    address
4631
   
4632
  
4633
 
4634
 
4635
   name="jtag_uart_0"
4636
   kind="altera_avalon_jtag_uart"
4637
   version="13.1"
4638
   path="jtag_uart_0">
4639
  
4641
  
4642
   embeddedsw.CMacro.READ_DEPTH
4643
   64
4644
  
4645
  
4646
   embeddedsw.CMacro.READ_THRESHOLD
4647
   8
4648
  
4649
  
4650
   embeddedsw.CMacro.WRITE_DEPTH
4651
   64
4652
  
4653
  
4654
   embeddedsw.CMacro.WRITE_THRESHOLD
4655
   8
4656
  
4657
  
4658
   embeddedsw.dts.compatible
4659
   altr,juart-1.0
4660
  
4661
  
4662
   embeddedsw.dts.group
4663
   serial
4664
  
4665
  
4666
   embeddedsw.dts.name
4667
   juart
4668
  
4669
  
4670
   embeddedsw.dts.vendor
4671
   altr
4672
  
4673
  
4674
   boolean
4675
   false
4676
   false
4677
   true
4678
   true
4679
   true
4680
  
4681
  
4682
   int
4683
   0
4684
   false
4685
   true
4686
   false
4687
   true
4688
  
4689
  
4690
   int
4691
   64
4692
   false
4693
   true
4694
   true
4695
   true
4696
  
4697
  
4698
   int
4699
   8
4700
   false
4701
   true
4702
   true
4703
   true
4704
  
4705
  
4706
   java.lang.String
4707
   
4708
   false
4709
   false
4710
   false
4711
   true
4712
  
4713
  
4714
   java.lang.String
4715
   NO_INTERACTIVE_WINDOWS
4716
   false
4717
   true
4718
   false
4719
   true
4720
  
4721
  
4722
   boolean
4723
   false
4724
   false
4725
   true
4726
   true
4727
   true
4728
  
4729
  
4730
   boolean
4731
   false
4732
   false
4733
   true
4734
   true
4735
   true
4736
  
4737
  
4738
   boolean
4739
   false
4740
   false
4741
   true
4742
   false
4743
   true
4744
  
4745
  
4746
   int
4747
   64
4748
   false
4749
   true
4750
   true
4751
   true
4752
  
4753
  
4754
   int
4755
   8
4756
   false
4757
   true
4758
   true
4759
   true
4760
  
4761
  
4762
   java.lang.String
4763
   2.0
4764
   false
4765
   true
4766
   false
4767
   true
4768
  
4769
  
4770
   boolean
4771
   false
4772
   true
4773
   true
4774
   false
4775
   true
4776
  
4777
  
4778
   boolean
4779
   false
4780
   true
4781
   true
4782
   false
4783
   true
4784
  
4785
  
4786
   boolean
4787
   false
4788
   true
4789
   true
4790
   false
4791
   true
4792
  
4793
  
4794
   java.lang.String
4795
   UNKNOWN
4796
   false
4797
   true
4798
   true
4799
   true
4800
  
4801
  
4802
   boolean
4803
   false
4804
   false
4805
   true
4806
   true
4807
   true
4808
  
4809
  
4810
   
4813
   
4814
    boolean
4815
    false
4816
    false
4817
    true
4818
    false
4819
    true
4820
   
4821
   
4822
    java.lang.String
4823
    
4824
    false
4825
    true
4826
    false
4827
    true
4828
   
4829
   
4830
    java.lang.String
4831
    UNKNOWN
4832
    false
4833
    true
4834
    true
4835
    true
4836
   
4837
   
4838
    boolean
4839
    false
4840
    false
4841
    true
4842
    true
4843
    true
4844
   
4845
   clock
4846
   false
4847
   
4848
    clk
4849
    Input
4850
    1
4851
    clk
4852
   
4853
  
4854
  
4855
   
4858
   
4859
    java.lang.String
4860
    clk
4861
    false
4862
    true
4863
    true
4864
    true
4865
   
4866
   
4867
    com.altera.sopcmodel.reset.Reset$Edges
4868
    DEASSERT
4869
    false
4870
    true
4871
    true
4872
    true
4873
   
4874
   
4875
    java.lang.String
4876
    UNKNOWN
4877
    false
4878
    true
4879
    true
4880
    true
4881
   
4882
   
4883
    boolean
4884
    false
4885
    false
4886
    true
4887
    true
4888
    true
4889
   
4890
   reset
4891
   false
4892
   
4893
    rst_n
4894
    Input
4895
    1
4896
    reset_n
4897
   
4898
  
4899
  
4900
   
4903
   
4904
    embeddedsw.configuration.isFlash
4905
    0
4906
   
4907
   
4908
    embeddedsw.configuration.isMemoryDevice
4909
    0
4910
   
4911
   
4912
    embeddedsw.configuration.isNonVolatileStorage
4913
    0
4914
   
4915
   
4916
    embeddedsw.configuration.isPrintableDevice
4917
    1
4918
   
4919
   
4920
    com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment
4921
    NATIVE
4922
    false
4923
    true
4924
    false
4925
    true
4926
   
4927
   
4928
    int
4929
    0
4930
    false
4931
    true
4932
    false
4933
    true
4934
   
4935
   
4936
    java.math.BigInteger
4937
    2
4938
    true
4939
    true
4940
    false
4941
    true
4942
   
4943
   
4944
    com.altera.sopcmodel.avalon.EAddrBurstUnits
4945
    WORDS
4946
    false
4947
    true
4948
    true
4949
    true
4950
   
4951
   
4952
    boolean
4953
    false
4954
    false
4955
    true
4956
    false
4957
    true
4958
   
4959
   
4960
    java.lang.String
4961
    clk
4962
    false
4963
    true
4964
    true
4965
    true
4966
   
4967
   
4968
    java.lang.String
4969
    reset
4970
    false
4971
    true
4972
    true
4973
    true
4974
   
4975
   
4976
    int
4977
    8
4978
    false
4979
    true
4980
    true
4981
    true
4982
   
4983
   
4984
    com.altera.entityinterfaces.IConnectionPoint
4985
    
4986
    false
4987
    true
4988
    false
4989
    true
4990
   
4991
   
4992
    boolean
4993
    false
4994
    false
4995
    true
4996
    true
4997
    true
4998
   
4999
   
5000
    com.altera.sopcmodel.avalon.EAddrBurstUnits
5001
    WORDS
5002
    false
5003
    true
5004
    true
5005
    true
5006
   
5007
   
5008
    boolean
5009
    false
5010
    false
5011
    true
5012
    false
5013
    true
5014
   
5015
   
5016
    java.math.BigInteger
5017
    0
5018
    false
5019
    true
5020
    true
5021
    true
5022
   
5023
   
5024
    int
5025
    0
5026
    false
5027
    false
5028
    true
5029
    true
5030
   
5031
   
5032
    boolean
5033
    false
5034
    false
5035
    true
5036
    false
5037
    true
5038
   
5039
   
5040
    boolean
5041
    false
5042
    false
5043
    true
5044
    false
5045
    true
5046
   
5047
   
5048
    boolean
5049
    false
5050
    false
5051
    true
5052
    false
5053
    true
5054
   
5055
   
5056
    boolean
5057
    false
5058
    false
5059
    true
5060
    false
5061
    true
5062
   
5063
   
5064
    boolean
5065
    false
5066
    false
5067
    true
5068
    false
5069
    true
5070
   
5071
   
5072
    boolean
5073
    false
5074
    false
5075
    true
5076
    true
5077
    true
5078
   
5079
   
5080
    int
5081
    0
5082
    false
5083
    false
5084
    true
5085
    true
5086
   
5087
   
5088
    int
5089
    0
5090
    false
5091
    false
5092
    false
5093
    true
5094
   
5095
   
5096
    int
5097
    1
5098
    false
5099
    true
5100
    false
5101
    true
5102
   
5103
   
5104
    boolean
5105
    true
5106
    false
5107
    true
5108
    false
5109
    true
5110
   
5111
   
5112
    int
5113
    0
5114
    false
5115
    true
5116
    true
5117
    true
5118
   
5119
   
5120
    int
5121
    1
5122
    false
5123
    true
5124
    false
5125
    true
5126
   
5127
   
5128
    int
5129
    1
5130
    false
5131
    false
5132
    true
5133
    true
5134
   
5135
   
5136
    boolean
5137
    false
5138
    false
5139
    true
5140
    false
5141
    true
5142
   
5143
   
5144
    boolean
5145
    false
5146
    false
5147
    true
5148
    false
5149
    true
5150
   
5151
   
5152
    int
5153
    0
5154
    false
5155
    false
5156
    true
5157
    true
5158
   
5159
   
5160
    com.altera.sopcmodel.avalon.TimingUnits
5161
    Cycles
5162
    false
5163
    false
5164
    true
5165
    true
5166
   
5167
   
5168
    boolean
5169
    false
5170
    false
5171
    true
5172
    false
5173
    true
5174
   
5175
   
5176
    boolean
5177
    false
5178
    false
5179
    true
5180
    false
5181
    true
5182
   
5183
   
5184
    int
5185
    0
5186
    false
5187
    true
5188
    false
5189
    true
5190
   
5191
   
5192
    int
5193
    0
5194
    false
5195
    true
5196
    false
5197
    true
5198
   
5199
   
5200
    int
5201
    0
5202
    false
5203
    false
5204
    true
5205
    true
5206
   
5207
   
5208
    java.lang.String
5209
    UNKNOWN
5210
    false
5211
    true
5212
    true
5213
    true
5214
   
5215
   
5216
    boolean
5217
    false
5218
    false
5219
    true
5220
    true
5221
    true
5222
   
5223
   avalon
5224
   false
5225
   
5226
    av_chipselect
5227
    Input
5228
    1
5229
    chipselect
5230
   
5231
   
5232
    av_address
5233
    Input
5234
    1
5235
    address
5236
   
5237
   
5238
    av_read_n
5239
    Input
5240
    1
5241
    read_n
5242
   
5243
   
5244
    av_readdata
5245
    Output
5246
    32
5247
    readdata
5248
   
5249
   
5250
    av_write_n
5251
    Input
5252
    1
5253
    write_n
5254
   
5255
   
5256
    av_writedata
5257
    Input
5258
    32
5259
    writedata
5260
   
5261
   
5262
    av_waitrequest
5263
    Output
5264
    1
5265
    waitrequest
5266
   
5267
  
5268
  
5269
   
5272
   
5273
    com.altera.entityinterfaces.IConnectionPoint
5274
    jtag_uart_0.avalon_jtag_slave
5275
    false
5276
    true
5277
    true
5278
    true
5279
   
5280
   
5281
    java.lang.String
5282
    clk
5283
    false
5284
    true
5285
    false
5286
    true
5287
   
5288
   
5289
    java.lang.String
5290
    reset
5291
    false
5292
    true
5293
    false
5294
    true
5295
   
5296
   
5297
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
5298
    NONE
5299
    false
5300
    true
5301
    false
5302
    true
5303
   
5304
   
5305
    java.lang.String
5306
    UNKNOWN
5307
    false
5308
    true
5309
    true
5310
    true
5311
   
5312
   
5313
    boolean
5314
    false
5315
    false
5316
    true
5317
    true
5318
    true
5319
   
5320
   interrupt
5321
   false
5322
   
5323
    av_irq
5324
    Output
5325
    1
5326
    irq
5327
   
5328
  
5329
 
5330
 
5331
  
5333
  
5334
   embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER
5335
   0
5336
  
5337
  
5338
   embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER
5339
   0
5340
  
5341
  
5342
   embeddedsw.CMacro.CAPTURE
5343
   0
5344
  
5345
  
5346
   embeddedsw.CMacro.DATA_WIDTH
5347
   4
5348
  
5349
  
5350
   embeddedsw.CMacro.DO_TEST_BENCH_WIRING
5351
   0
5352
  
5353
  
5354
   embeddedsw.CMacro.DRIVEN_SIM_VALUE
5355
   0
5356
  
5357
  
5358
   embeddedsw.CMacro.EDGE_TYPE
5359
   NONE
5360
  
5361
  
5362
   embeddedsw.CMacro.FREQ
5363
   50000000
5364
  
5365
  
5366
   embeddedsw.CMacro.HAS_IN
5367
   1
5368
  
5369
  
5370
   embeddedsw.CMacro.HAS_OUT
5371
   0
5372
  
5373
  
5374
   embeddedsw.CMacro.HAS_TRI
5375
   0
5376
  
5377
  
5378
   embeddedsw.CMacro.IRQ_TYPE
5379
   LEVEL
5380
  
5381
  
5382
   embeddedsw.CMacro.RESET_VALUE
5383
   0
5384
  
5385
  
5386
   embeddedsw.dts.compatible
5387
   altr,pio-1.0
5388
  
5389
  
5390
   embeddedsw.dts.group
5391
   gpio
5392
  
5393
  
5394
   embeddedsw.dts.name
5395
   pio
5396
  
5397
  
5398
   embeddedsw.dts.params.level_trigger
5399
   1
5400
  
5401
  
5402
   embeddedsw.dts.params.resetvalue
5403
   0
5404
  
5405
  
5406
   embeddedsw.dts.params.width
5407
   4
5408
  
5409
  
5410
   embeddedsw.dts.vendor
5411
   altr
5412
  
5413
  
5414
   boolean
5415
   false
5416
   false
5417
   false
5418
   true
5419
   true
5420
  
5421
  
5422
   boolean
5423
   false
5424
   false
5425
   false
5426
   true
5427
   true
5428
  
5429
  
5430
   boolean
5431
   false
5432
   false
5433
   true
5434
   true
5435
   true
5436
  
5437
  
5438
   java.lang.String
5439
   Input
5440
   false
5441
   true
5442
   true
5443
   true
5444
  
5445
  
5446
   java.lang.String
5447
   RISING
5448
   false
5449
   false
5450
   true
5451
   true
5452
  
5453
  
5454
   boolean
5455
   true
5456
   false
5457
   true
5458
   true
5459
   true
5460
  
5461
  
5462
   java.lang.String
5463
   LEVEL
5464
   false
5465
   true
5466
   true
5467
   true
5468
  
5469
  
5470
   long
5471
   0
5472
   false
5473
   false
5474
   true
5475
   true
5476
  
5477
  
5478
   boolean
5479
   false
5480
   false
5481
   true
5482
   true
5483
   true
5484
  
5485
  
5486
   long
5487
   0
5488
   false
5489
   false
5490
   true
5491
   true
5492
  
5493
  
5494
   int
5495
   4
5496
   false
5497
   true
5498
   true
5499
   true
5500
  
5501
  
5502
   long
5503
   50000000
5504
   false
5505
   true
5506
   false
5507
   true
5508
  
5509
  
5510
   boolean
5511
   false
5512
   true
5513
   true
5514
   false
5515
   true
5516
  
5517
  
5518
   boolean
5519
   false
5520
   true
5521
   true
5522
   false
5523
   true
5524
  
5525
  
5526
   boolean
5527
   true
5528
   true
5529
   true
5530
   false
5531
   true
5532
  
5533
  
5534
   boolean
5535
   false
5536
   true
5537
   true
5538
   false
5539
   true
5540
  
5541
  
5542
   boolean
5543
   false
5544
   true
5545
   true
5546
   false
5547
   true
5548
  
5549
  
5550
   java.lang.String
5551
   NONE
5552
   true
5553
   true
5554
   false
5555
   true
5556
  
5557
  
5558
   java.lang.String
5559
   LEVEL
5560
   true
5561
   true
5562
   false
5563
   true
5564
  
5565
  
5566
   boolean
5567
   true
5568
   true
5569
   true
5570
   false
5571
   true
5572
  
5573
  
5574
   java.lang.String
5575
   UNKNOWN
5576
   false
5577
   true
5578
   true
5579
   true
5580
  
5581
  
5582
   boolean
5583
   false
5584
   false
5585
   true
5586
   true
5587
   true
5588
  
5589
  
5590
   
5593
   
5594
    boolean
5595
    false
5596
    false
5597
    true
5598
    false
5599
    true
5600
   
5601
   
5602
    java.lang.String
5603
    
5604
    false
5605
    true
5606
    false
5607
    true
5608
   
5609
   
5610
    java.lang.String
5611
    UNKNOWN
5612
    false
5613
    true
5614
    true
5615
    true
5616
   
5617
   
5618
    boolean
5619
    false
5620
    false
5621
    true
5622
    true
5623
    true
5624
   
5625
   
5626
    java.lang.Boolean
5627
    true
5628
    true
5629
    true
5630
    false
5631
    true
5632
   
5633
   
5634
    java.lang.Long
5635
    50000000
5636
    true
5637
    true
5638
    false
5639
    true
5640
   
5641
   clock
5642
   false
5643
   
5644
    clk
5645
    Input
5646
    1
5647
    clk
5648
   
5649
  
5650
  
5651
   
5654
   
5655
    java.lang.String
5656
    clk
5657
    false
5658
    true
5659
    true
5660
    true
5661
   
5662
   
5663
    com.altera.sopcmodel.reset.Reset$Edges
5664
    DEASSERT
5665
    false
5666
    true
5667
    true
5668
    true
5669
   
5670
   
5671
    java.lang.String
5672
    UNKNOWN
5673
    false
5674
    true
5675
    true
5676
    true
5677
   
5678
   
5679
    boolean
5680
    false
5681
    false
5682
    true
5683
    true
5684
    true
5685
   
5686
   reset
5687
   false
5688
   
5689
    reset_n
5690
    Input
5691
    1
5692
    reset_n
5693
   
5694
  
5695
  
5696
   
5699
   
5700
    embeddedsw.configuration.isFlash
5701
    0
5702
   
5703
   
5704
    embeddedsw.configuration.isMemoryDevice
5705
    0
5706
   
5707
   
5708
    embeddedsw.configuration.isNonVolatileStorage
5709
    0
5710
   
5711
   
5712
    embeddedsw.configuration.isPrintableDevice
5713
    0
5714
   
5715
   
5716
    com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment
5717
    NATIVE
5718
    false
5719
    true
5720
    false
5721
    true
5722
   
5723
   
5724
    int
5725
    0
5726
    false
5727
    true
5728
    false
5729
    true
5730
   
5731
   
5732
    java.math.BigInteger
5733
    4
5734
    true
5735
    true
5736
    false
5737
    true
5738
   
5739
   
5740
    com.altera.sopcmodel.avalon.EAddrBurstUnits
5741
    WORDS
5742
    false
5743
    true
5744
    true
5745
    true
5746
   
5747
   
5748
    boolean
5749
    false
5750
    false
5751
    true
5752
    false
5753
    true
5754
   
5755
   
5756
    java.lang.String
5757
    clk
5758
    false
5759
    true
5760
    true
5761
    true
5762
   
5763
   
5764
    java.lang.String
5765
    reset
5766
    false
5767
    true
5768
    true
5769
    true
5770
   
5771
   
5772
    int
5773
    8
5774
    false
5775
    true
5776
    true
5777
    true
5778
   
5779
   
5780
    com.altera.entityinterfaces.IConnectionPoint
5781
    
5782
    false
5783
    true
5784
    false
5785
    true
5786
   
5787
   
5788
    boolean
5789
    false
5790
    false
5791
    true
5792
    true
5793
    true
5794
   
5795
   
5796
    com.altera.sopcmodel.avalon.EAddrBurstUnits
5797
    WORDS
5798
    false
5799
    true
5800
    true
5801
    true
5802
   
5803
   
5804
    boolean
5805
    false
5806
    false
5807
    true
5808
    false
5809
    true
5810
   
5811
   
5812
    java.math.BigInteger
5813
    0
5814
    false
5815
    true
5816
    true
5817
    true
5818
   
5819
   
5820
    int
5821
    0
5822
    false
5823
    true
5824
    true
5825
    true
5826
   
5827
   
5828
    boolean
5829
    false
5830
    false
5831
    true
5832
    false
5833
    true
5834
   
5835
   
5836
    boolean
5837
    false
5838
    false
5839
    true
5840
    false
5841
    true
5842
   
5843
   
5844
    boolean
5845
    false
5846
    false
5847
    true
5848
    false
5849
    true
5850
   
5851
   
5852
    boolean
5853
    false
5854
    false
5855
    true
5856
    false
5857
    true
5858
   
5859
   
5860
    boolean
5861
    false
5862
    false
5863
    true
5864
    false
5865
    true
5866
   
5867
   
5868
    boolean
5869
    false
5870
    false
5871
    true
5872
    true
5873
    true
5874
   
5875
   
5876
    int
5877
    0
5878
    false
5879
    false
5880
    true
5881
    true
5882
   
5883
   
5884
    int
5885
    0
5886
    false
5887
    false
5888
    false
5889
    true
5890
   
5891
   
5892
    int
5893
    1
5894
    false
5895
    true
5896
    false
5897
    true
5898
   
5899
   
5900
    boolean
5901
    false
5902
    false
5903
    true
5904
    false
5905
    true
5906
   
5907
   
5908
    int
5909
    0
5910
    false
5911
    true
5912
    true
5913
    true
5914
   
5915
   
5916
    int
5917
    1
5918
    false
5919
    true
5920
    false
5921
    true
5922
   
5923
   
5924
    int
5925
    1
5926
    false
5927
    true
5928
    true
5929
    true
5930
   
5931
   
5932
    boolean
5933
    false
5934
    false
5935
    true
5936
    false
5937
    true
5938
   
5939
   
5940
    boolean
5941
    false
5942
    false
5943
    true
5944
    false
5945
    true
5946
   
5947
   
5948
    int
5949
    0
5950
    false
5951
    true
5952
    true
5953
    true
5954
   
5955
   
5956
    com.altera.sopcmodel.avalon.TimingUnits
5957
    Cycles
5958
    false
5959
    true
5960
    true
5961
    true
5962
   
5963
   
5964
    boolean
5965
    false
5966
    false
5967
    true
5968
    false
5969
    true
5970
   
5971
   
5972
    boolean
5973
    false
5974
    false
5975
    true
5976
    false
5977
    true
5978
   
5979
   
5980
    int
5981
    0
5982
    false
5983
    true
5984
    false
5985
    true
5986
   
5987
   
5988
    int
5989
    0
5990
    false
5991
    true
5992
    false
5993
    true
5994
   
5995
   
5996
    int
5997
    0
5998
    false
5999
    true
6000
    true
6001
    true
6002
   
6003
   
6004
    java.lang.String
6005
    UNKNOWN
6006
    false
6007
    true
6008
    true
6009
    true
6010
   
6011
   
6012
    boolean
6013
    false
6014
    false
6015
    true
6016
    true
6017
    true
6018
   
6019
   avalon
6020
   false
6021
   
6022
    address
6023
    Input
6024
    2
6025
    address
6026
   
6027
   
6028
    write_n
6029
    Input
6030
    1
6031
    write_n
6032
   
6033
   
6034
    writedata
6035
    Input
6036
    32
6037
    writedata
6038
   
6039
   
6040
    chipselect
6041
    Input
6042
    1
6043
    chipselect
6044
   
6045
   
6046
    readdata
6047
    Output
6048
    32
6049
    readdata
6050
   
6051
  
6052
  
6053
   
6056
   
6057
    java.lang.String
6058
    
6059
    false
6060
    true
6061
    true
6062
    true
6063
   
6064
   
6065
    java.lang.String
6066
    
6067
    false
6068
    true
6069
    true
6070
    true
6071
   
6072
   
6073
    java.lang.String
6074
    UNKNOWN
6075
    false
6076
    true
6077
    true
6078
    true
6079
   
6080
   
6081
    boolean
6082
    false
6083
    false
6084
    true
6085
    true
6086
    true
6087
   
6088
   conduit
6089
   false
6090
   
6091
    in_port
6092
    Input
6093
    4
6094
    export
6095
   
6096
  
6097
  
6098
   
6101
   
6102
    embeddedsw.dts.irq.tx_type
6103
    ACTIVE_HIGH
6104
   
6105
   
6106
    com.altera.entityinterfaces.IConnectionPoint
6107
    pio_0.s1
6108
    false
6109
    true
6110
    true
6111
    true
6112
   
6113
   
6114
    java.lang.String
6115
    clk
6116
    false
6117
    true
6118
    false
6119
    true
6120
   
6121
   
6122
    java.lang.String
6123
    reset
6124
    false
6125
    true
6126
    false
6127
    true
6128
   
6129
   
6130
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
6131
    NONE
6132
    false
6133
    true
6134
    false
6135
    true
6136
   
6137
   
6138
    java.lang.String
6139
    UNKNOWN
6140
    false
6141
    true
6142
    true
6143
    true
6144
   
6145
   
6146
    boolean
6147
    false
6148
    false
6149
    true
6150
    true
6151
    true
6152
   
6153
   interrupt
6154
   false
6155
   
6156
    irq
6157
    Output
6158
    1
6159
    irq
6160
   
6161
  
6162
 
6163
 
6164
   name="timer_0"
6165
   kind="altera_avalon_timer"
6166
   version="13.1"
6167
   path="timer_0">
6168
  
6170
  
6171
   embeddedsw.CMacro.ALWAYS_RUN
6172
   0
6173
  
6174
  
6175
   embeddedsw.CMacro.COUNTER_SIZE
6176
   32
6177
  
6178
  
6179
   embeddedsw.CMacro.FIXED_PERIOD
6180
   0
6181
  
6182
  
6183
   embeddedsw.CMacro.FREQ
6184
   50000000
6185
  
6186
  
6187
   embeddedsw.CMacro.LOAD_VALUE
6188
   49999
6189
  
6190
  
6191
   embeddedsw.CMacro.MULT
6192
   0.001
6193
  
6194
  
6195
   embeddedsw.CMacro.PERIOD
6196
   1
6197
  
6198
  
6199
   embeddedsw.CMacro.PERIOD_UNITS
6200
   ms
6201
  
6202
  
6203
   embeddedsw.CMacro.RESET_OUTPUT
6204
   0
6205
  
6206
  
6207
   embeddedsw.CMacro.SNAPSHOT
6208
   1
6209
  
6210
  
6211
   embeddedsw.CMacro.TICKS_PER_SEC
6212
   1000.0
6213
  
6214
  
6215
   embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT
6216
   0
6217
  
6218
  
6219
   embeddedsw.dts.compatible
6220
   altr,timer-1.0
6221
  
6222
  
6223
   embeddedsw.dts.group
6224
   timer
6225
  
6226
  
6227
   embeddedsw.dts.name
6228
   timer
6229
  
6230
  
6231
   embeddedsw.dts.params.clock-frequency
6232
   50000000
6233
  
6234
  
6235
   embeddedsw.dts.vendor
6236
   altr
6237
  
6238
  
6239
   boolean
6240
   false
6241
   false
6242
   true
6243
   true
6244
   true
6245
  
6246
  
6247
   int
6248
   32
6249
   false
6250
   true
6251
   true
6252
   true
6253
  
6254
  
6255
   boolean
6256
   false
6257
   false
6258
   true
6259
   true
6260
   true
6261
  
6262
  
6263
   java.lang.String
6264
   1
6265
   false
6266
   true
6267
   true
6268
   true
6269
  
6270
  
6271
   java.lang.String
6272
   MSEC
6273
   false
6274
   true
6275
   true
6276
   true
6277
  
6278
  
6279
   boolean
6280
   false
6281
   false
6282
   true
6283
   true
6284
   true
6285
  
6286
  
6287
   boolean
6288
   true
6289
   false
6290
   true
6291
   true
6292
   true
6293
  
6294
  
6295
   boolean
6296
   false
6297
   false
6298
   true
6299
   true
6300
   true
6301
  
6302
  
6303
   long
6304
   50000000
6305
   false
6306
   true
6307
   false
6308
   true
6309
  
6310
  
6311
   java.lang.String
6312
   FULL_FEATURED
6313
   true
6314
   true
6315
   false
6316
   true
6317
  
6318
  
6319
   java.lang.String
6320
   ms
6321
   true
6322
   true
6323
   false
6324
   true
6325
  
6326
  
6327
   int
6328
   0
6329
   true
6330
   true
6331
   false
6332
   true
6333
  
6334
  
6335
   java.lang.String
6336
   49999
6337
   true
6338
   true
6339
   false
6340
   true
6341
  
6342
  
6343
   int
6344
   0
6345
   true
6346
   true
6347
   false
6348
   true
6349
  
6350
  
6351
   int
6352
   1000
6353
   true
6354
   true
6355
   false
6356
   true
6357
  
6358
  
6359
   int
6360
   3
6361
   true
6362
   true
6363
   false
6364
   true
6365
  
6366
  
6367
   java.lang.String
6368
   UNKNOWN
6369
   false
6370
   true
6371
   true
6372
   true
6373
  
6374
  
6375
   boolean
6376
   false
6377
   false
6378
   true
6379
   true
6380
   true
6381
  
6382
  
6383
   
6386
   
6387
    boolean
6388
    false
6389
    false
6390
    true
6391
    false
6392
    true
6393
   
6394
   
6395
    java.lang.String
6396
    
6397
    false
6398
    true
6399
    false
6400
    true
6401
   
6402
   
6403
    java.lang.String
6404
    UNKNOWN
6405
    false
6406
    true
6407
    true
6408
    true
6409
   
6410
   
6411
    boolean
6412
    false
6413
    false
6414
    true
6415
    true
6416
    true
6417
   
6418
   
6419
    java.lang.Boolean
6420
    true
6421
    true
6422
    true
6423
    false
6424
    true
6425
   
6426
   
6427
    java.lang.Long
6428
    50000000
6429
    true
6430
    true
6431
    false
6432
    true
6433
   
6434
   clock
6435
   false
6436
   
6437
    clk
6438
    Input
6439
    1
6440
    clk
6441
   
6442
  
6443
  
6444
   
6447
   
6448
    java.lang.String
6449
    clk
6450
    false
6451
    true
6452
    true
6453
    true
6454
   
6455
   
6456
    com.altera.sopcmodel.reset.Reset$Edges
6457
    DEASSERT
6458
    false
6459
    true
6460
    true
6461
    true
6462
   
6463
   
6464
    java.lang.String
6465
    UNKNOWN
6466
    false
6467
    true
6468
    true
6469
    true
6470
   
6471
   
6472
    boolean
6473
    false
6474
    false
6475
    true
6476
    true
6477
    true
6478
   
6479
   reset
6480
   false
6481
   
6482
    reset_n
6483
    Input
6484
    1
6485
    reset_n
6486
   
6487
  
6488
  
6489
   
6492
   
6493
    embeddedsw.configuration.isFlash
6494
    0
6495
   
6496
   
6497
    embeddedsw.configuration.isMemoryDevice
6498
    0
6499
   
6500
   
6501
    embeddedsw.configuration.isNonVolatileStorage
6502
    0
6503
   
6504
   
6505
    embeddedsw.configuration.isPrintableDevice
6506
    0
6507
   
6508
   
6509
    embeddedsw.configuration.isTimerDevice
6510
    1
6511
   
6512
   
6513
    com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment
6514
    NATIVE
6515
    false
6516
    true
6517
    false
6518
    true
6519
   
6520
   
6521
    int
6522
    0
6523
    false
6524
    true
6525
    false
6526
    true
6527
   
6528
   
6529
    java.math.BigInteger
6530
    8
6531
    true
6532
    true
6533
    false
6534
    true
6535
   
6536
   
6537
    com.altera.sopcmodel.avalon.EAddrBurstUnits
6538
    WORDS
6539
    false
6540
    true
6541
    true
6542
    true
6543
   
6544
   
6545
    boolean
6546
    false
6547
    false
6548
    true
6549
    false
6550
    true
6551
   
6552
   
6553
    java.lang.String
6554
    clk
6555
    false
6556
    true
6557
    true
6558
    true
6559
   
6560
   
6561
    java.lang.String
6562
    reset
6563
    false
6564
    true
6565
    true
6566
    true
6567
   
6568
   
6569
    int
6570
    8
6571
    false
6572
    true
6573
    true
6574
    true
6575
   
6576
   
6577
    com.altera.entityinterfaces.IConnectionPoint
6578
    
6579
    false
6580
    true
6581
    false
6582
    true
6583
   
6584
   
6585
    boolean
6586
    false
6587
    false
6588
    true
6589
    true
6590
    true
6591
   
6592
   
6593
    com.altera.sopcmodel.avalon.EAddrBurstUnits
6594
    WORDS
6595
    false
6596
    true
6597
    true
6598
    true
6599
   
6600
   
6601
    boolean
6602
    false
6603
    false
6604
    true
6605
    false
6606
    true
6607
   
6608
   
6609
    java.math.BigInteger
6610
    0
6611
    false
6612
    true
6613
    true
6614
    true
6615
   
6616
   
6617
    int
6618
    0
6619
    false
6620
    true
6621
    true
6622
    true
6623
   
6624
   
6625
    boolean
6626
    false
6627
    false
6628
    true
6629
    false
6630
    true
6631
   
6632
   
6633
    boolean
6634
    false
6635
    false
6636
    true
6637
    false
6638
    true
6639
   
6640
   
6641
    boolean
6642
    false
6643
    false
6644
    true
6645
    false
6646
    true
6647
   
6648
   
6649
    boolean
6650
    false
6651
    false
6652
    true
6653
    false
6654
    true
6655
   
6656
   
6657
    boolean
6658
    false
6659
    false
6660
    true
6661
    false
6662
    true
6663
   
6664
   
6665
    boolean
6666
    false
6667
    false
6668
    true
6669
    true
6670
    true
6671
   
6672
   
6673
    int
6674
    0
6675
    false
6676
    false
6677
    true
6678
    true
6679
   
6680
   
6681
    int
6682
    0
6683
    false
6684
    false
6685
    false
6686
    true
6687
   
6688
   
6689
    int
6690
    1
6691
    false
6692
    true
6693
    false
6694
    true
6695
   
6696
   
6697
    boolean
6698
    false
6699
    false
6700
    true
6701
    false
6702
    true
6703
   
6704
   
6705
    int
6706
    0
6707
    false
6708
    true
6709
    true
6710
    true
6711
   
6712
   
6713
    int
6714
    1
6715
    false
6716
    true
6717
    false
6718
    true
6719
   
6720
   
6721
    int
6722
    1
6723
    false
6724
    true
6725
    true
6726
    true
6727
   
6728
   
6729
    boolean
6730
    false
6731
    false
6732
    true
6733
    false
6734
    true
6735
   
6736
   
6737
    boolean
6738
    false
6739
    false
6740
    true
6741
    false
6742
    true
6743
   
6744
   
6745
    int
6746
    0
6747
    false
6748
    true
6749
    true
6750
    true
6751
   
6752
   
6753
    com.altera.sopcmodel.avalon.TimingUnits
6754
    Cycles
6755
    false
6756
    true
6757
    true
6758
    true
6759
   
6760
   
6761
    boolean
6762
    false
6763
    false
6764
    true
6765
    false
6766
    true
6767
   
6768
   
6769
    boolean
6770
    false
6771
    false
6772
    true
6773
    false
6774
    true
6775
   
6776
   
6777
    int
6778
    0
6779
    false
6780
    true
6781
    false
6782
    true
6783
   
6784
   
6785
    int
6786
    0
6787
    false
6788
    true
6789
    false
6790
    true
6791
   
6792
   
6793
    int
6794
    0
6795
    false
6796
    true
6797
    true
6798
    true
6799
   
6800
   
6801
    java.lang.String
6802
    UNKNOWN
6803
    false
6804
    true
6805
    true
6806
    true
6807
   
6808
   
6809
    boolean
6810
    false
6811
    false
6812
    true
6813
    true
6814
    true
6815
   
6816
   avalon
6817
   false
6818
   
6819
    address
6820
    Input
6821
    3
6822
    address
6823
   
6824
   
6825
    writedata
6826
    Input
6827
    16
6828
    writedata
6829
   
6830
   
6831
    readdata
6832
    Output
6833
    16
6834
    readdata
6835
   
6836
   
6837
    chipselect
6838
    Input
6839
    1
6840
    chipselect
6841
   
6842
   
6843
    write_n
6844
    Input
6845
    1
6846
    write_n
6847
   
6848
  
6849
  
6850
   
6853
   
6854
    com.altera.entityinterfaces.IConnectionPoint
6855
    timer_0.s1
6856
    false
6857
    true
6858
    true
6859
    true
6860
   
6861
   
6862
    java.lang.String
6863
    clk
6864
    false
6865
    true
6866
    false
6867
    true
6868
   
6869
   
6870
    java.lang.String
6871
    reset
6872
    false
6873
    true
6874
    false
6875
    true
6876
   
6877
   
6878
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
6879
    NONE
6880
    false
6881
    true
6882
    false
6883
    true
6884
   
6885
   
6886
    java.lang.String
6887
    UNKNOWN
6888
    false
6889
    true
6890
    true
6891
    true
6892
   
6893
   
6894
    boolean
6895
    false
6896
    false
6897
    true
6898
    true
6899
    true
6900
   
6901
   interrupt
6902
   false
6903
   
6904
    irq
6905
    Output
6906
    1
6907
    irq
6908
   
6909
  
6910
 
6911
 
6912
   name="epcs_flash_controller_0"
6913
   kind="altera_avalon_epcs_flash_controller"
6914
   version="13.1"
6915
   path="epcs_flash_controller_0">
6916
  
6918
  
6919
   embeddedsw.CMacro.REGISTER_OFFSET
6920
   1024
6921
  
6922
  
6923
   embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR
6924
   SIM_DIR
6925
  
6926
  
6927
   embeddedsw.memoryInfo.FLASH_INSTALL_DIR
6928
   APP_DIR
6929
  
6930
  
6931
   embeddedsw.memoryInfo.GENERATE_DAT_SYM
6932
   1
6933
  
6934
  
6935
   embeddedsw.memoryInfo.GENERATE_FLASH
6936
   1
6937
  
6938
  
6939
   embeddedsw.memoryInfo.GENERATE_HEX
6940
   1
6941
  
6942
  
6943
   embeddedsw.memoryInfo.HEX_INSTALL_DIR
6944
   SIM_DIR
6945
  
6946
  
6947
   embeddedsw.memoryInfo.IS_EPCS
6948
   1
6949
  
6950
  
6951
   embeddedsw.memoryInfo.IS_FLASH
6952
   1
6953
  
6954
  
6955
   embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH
6956
   32
6957
  
6958
  
6959
   embeddedsw.memoryInfo.MEM_INIT_FILENAME
6960
   d3d_system_epcs_flash_controller_0_boot_rom
6961
  
6962
  
6963
   postgeneration.simulation.init_file.param_name
6964
   INIT_FILE
6965
  
6966
  
6967
   postgeneration.simulation.init_file.type
6968
   MEM_INIT
6969
  
6970
  
6971
   boolean
6972
   true
6973
   false
6974
   true
6975
   true
6976
   true
6977
  
6978
  
6979
   boolean
6980
   false
6981
   false
6982
   false
6983
   true
6984
   true
6985
  
6986
  
6987
   long
6988
   50000000
6989
   false
6990
   true
6991
   false
6992
   true
6993
  
6994
  
6995
   java.lang.String
6996
   CYCLONEIII
6997
   false
6998
   true
6999
   false
7000
   true
7001
  
7002
  
7003
   java.lang.String
7004
   d3d_system_epcs_flash_controller_0
7005
   false
7006
   true
7007
   false
7008
   true
7009
  
7010
  
7011
   int
7012
   1024
7013
   true
7014
   true
7015
   false
7016
   true
7017
  
7018
  
7019
   boolean
7020
   false
7021
   true
7022
   true
7023
   false
7024
   true
7025
  
7026
  
7027
   java.lang.String
7028
   UNKNOWN
7029
   false
7030
   true
7031
   true
7032
   true
7033
  
7034
  
7035
   boolean
7036
   false
7037
   false
7038
   true
7039
   true
7040
   true
7041
  
7042
  
7043
   
7046
   
7047
    boolean
7048
    false
7049
    false
7050
    true
7051
    false
7052
    true
7053
   
7054
   
7055
    java.lang.String
7056
    
7057
    false
7058
    true
7059
    false
7060
    true
7061
   
7062
   
7063
    java.lang.String
7064
    UNKNOWN
7065
    false
7066
    true
7067
    true
7068
    true
7069
   
7070
   
7071
    boolean
7072
    false
7073
    false
7074
    true
7075
    true
7076
    true
7077
   
7078
   
7079
    java.lang.Boolean
7080
    true
7081
    true
7082
    true
7083
    false
7084
    true
7085
   
7086
   
7087
    java.lang.Long
7088
    50000000
7089
    true
7090
    true
7091
    false
7092
    true
7093
   
7094
   clock
7095
   false
7096
   
7097
    clk
7098
    Input
7099
    1
7100
    clk
7101
   
7102
  
7103
  
7104
   
7107
   
7108
    java.lang.String
7109
    clk
7110
    false
7111
    true
7112
    true
7113
    true
7114
   
7115
   
7116
    com.altera.sopcmodel.reset.Reset$Edges
7117
    DEASSERT
7118
    false
7119
    true
7120
    true
7121
    true
7122
   
7123
   
7124
    java.lang.String
7125
    UNKNOWN
7126
    false
7127
    true
7128
    true
7129
    true
7130
   
7131
   
7132
    boolean
7133
    false
7134
    false
7135
    true
7136
    true
7137
    true
7138
   
7139
   reset
7140
   false
7141
   
7142
    reset_n
7143
    Input
7144
    1
7145
    reset_n
7146
   
7147
   
7148
    reset_req
7149
    Input
7150
    1
7151
    reset_req
7152
   
7153
  
7154
  
7155
   
7158
   
7159
    embeddedsw.configuration.isFlash
7160
    1
7161
   
7162
   
7163
    embeddedsw.configuration.isMemoryDevice
7164
    1
7165
   
7166
   
7167
    embeddedsw.configuration.isNonVolatileStorage
7168
    1
7169
   
7170
   
7171
    embeddedsw.configuration.isPrintableDevice
7172
    0
7173
   
7174
   
7175
    com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment
7176
    DYNAMIC
7177
    false
7178
    true
7179
    false
7180
    true
7181
   
7182
   
7183
    int
7184
    0
7185
    false
7186
    true
7187
    false
7188
    true
7189
   
7190
   
7191
    java.math.BigInteger
7192
    2048
7193
    true
7194
    true
7195
    false
7196
    true
7197
   
7198
   
7199
    com.altera.sopcmodel.avalon.EAddrBurstUnits
7200
    WORDS
7201
    false
7202
    true
7203
    true
7204
    true
7205
   
7206
   
7207
    boolean
7208
    false
7209
    false
7210
    true
7211
    false
7212
    true
7213
   
7214
   
7215
    java.lang.String
7216
    clk
7217
    false
7218
    true
7219
    true
7220
    true
7221
   
7222
   
7223
    java.lang.String
7224
    reset
7225
    false
7226
    true
7227
    true
7228
    true
7229
   
7230
   
7231
    int
7232
    8
7233
    false
7234
    true
7235
    true
7236
    true
7237
   
7238
   
7239
    com.altera.entityinterfaces.IConnectionPoint
7240
    
7241
    false
7242
    true
7243
    false
7244
    true
7245
   
7246
   
7247
    boolean
7248
    false
7249
    false
7250
    true
7251
    true
7252
    true
7253
   
7254
   
7255
    com.altera.sopcmodel.avalon.EAddrBurstUnits
7256
    WORDS
7257
    false
7258
    true
7259
    true
7260
    true
7261
   
7262
   
7263
    boolean
7264
    false
7265
    false
7266
    true
7267
    false
7268
    true
7269
   
7270
   
7271
    java.math.BigInteger
7272
    0
7273
    false
7274
    true
7275
    true
7276
    true
7277
   
7278
   
7279
    int
7280
    0
7281
    false
7282
    true
7283
    true
7284
    true
7285
   
7286
   
7287
    boolean
7288
    false
7289
    false
7290
    true
7291
    false
7292
    true
7293
   
7294
   
7295
    boolean
7296
    false
7297
    false
7298
    true
7299
    false
7300
    true
7301
   
7302
   
7303
    boolean
7304
    true
7305
    false
7306
    true
7307
    false
7308
    true
7309
   
7310
   
7311
    boolean
7312
    true
7313
    false
7314
    true
7315
    false
7316
    true
7317
   
7318
   
7319
    boolean
7320
    true
7321
    false
7322
    true
7323
    false
7324
    true
7325
   
7326
   
7327
    boolean
7328
    false
7329
    false
7330
    true
7331
    true
7332
    true
7333
   
7334
   
7335
    int
7336
    0
7337
    false
7338
    false
7339
    true
7340
    true
7341
   
7342
   
7343
    int
7344
    0
7345
    false
7346
    false
7347
    false
7348
    true
7349
   
7350
   
7351
    int
7352
    1
7353
    false
7354
    true
7355
    false
7356
    true
7357
   
7358
   
7359
    boolean
7360
    false
7361
    false
7362
    true
7363
    false
7364
    true
7365
   
7366
   
7367
    int
7368
    0
7369
    false
7370
    true
7371
    true
7372
    true
7373
   
7374
   
7375
    int
7376
    1
7377
    false
7378
    true
7379
    false
7380
    true
7381
   
7382
   
7383
    int
7384
    1
7385
    false
7386
    true
7387
    true
7388
    true
7389
   
7390
   
7391
    boolean
7392
    false
7393
    false
7394
    true
7395
    false
7396
    true
7397
   
7398
   
7399
    boolean
7400
    false
7401
    false
7402
    true
7403
    false
7404
    true
7405
   
7406
   
7407
    int
7408
    0
7409
    false
7410
    true
7411
    true
7412
    true
7413
   
7414
   
7415
    com.altera.sopcmodel.avalon.TimingUnits
7416
    Cycles
7417
    false
7418
    true
7419
    true
7420
    true
7421
   
7422
   
7423
    boolean
7424
    false
7425
    false
7426
    true
7427
    false
7428
    true
7429
   
7430
   
7431
    boolean
7432
    false
7433
    false
7434
    true
7435
    false
7436
    true
7437
   
7438
   
7439
    int
7440
    0
7441
    false
7442
    true
7443
    false
7444
    true
7445
   
7446
   
7447
    int
7448
    1
7449
    false
7450
    true
7451
    false
7452
    true
7453
   
7454
   
7455
    int
7456
    1
7457
    false
7458
    true
7459
    true
7460
    true
7461
   
7462
   
7463
    java.lang.String
7464
    UNKNOWN
7465
    false
7466
    true
7467
    true
7468
    true
7469
   
7470
   
7471
    boolean
7472
    false
7473
    false
7474
    true
7475
    true
7476
    true
7477
   
7478
   avalon
7479
   false
7480
   
7481
    address
7482
    Input
7483
    9
7484
    address
7485
   
7486
   
7487
    chipselect
7488
    Input
7489
    1
7490
    chipselect
7491
   
7492
   
7493
    dataavailable
7494
    Output
7495
    1
7496
    dataavailable
7497
   
7498
   
7499
    endofpacket
7500
    Output
7501
    1
7502
    endofpacket
7503
   
7504
   
7505
    read_n
7506
    Input
7507
    1
7508
    read_n
7509
   
7510
   
7511
    readdata
7512
    Output
7513
    32
7514
    readdata
7515
   
7516
   
7517
    readyfordata
7518
    Output
7519
    1
7520
    readyfordata
7521
   
7522
   
7523
    write_n
7524
    Input
7525
    1
7526
    write_n
7527
   
7528
   
7529
    writedata
7530
    Input
7531
    32
7532
    writedata
7533
   
7534
  
7535
  
7536
   
7539
   
7540
    com.altera.entityinterfaces.IConnectionPoint
7541
    epcs_flash_controller_0.epcs_control_port
7542
    false
7543
    true
7544
    true
7545
    true
7546
   
7547
   
7548
    java.lang.String
7549
    clk
7550
    false
7551
    true
7552
    false
7553
    true
7554
   
7555
   
7556
    java.lang.String
7557
    reset
7558
    false
7559
    true
7560
    false
7561
    true
7562
   
7563
   
7564
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
7565
    NONE
7566
    false
7567
    true
7568
    false
7569
    true
7570
   
7571
   
7572
    java.lang.String
7573
    UNKNOWN
7574
    false
7575
    true
7576
    true
7577
    true
7578
   
7579
   
7580
    boolean
7581
    false
7582
    false
7583
    true
7584
    true
7585
    true
7586
   
7587
   interrupt
7588
   false
7589
   
7590
    irq
7591
    Output
7592
    1
7593
    irq
7594
   
7595
  
7596
  
7597
   
7600
   
7601
    java.lang.String
7602
    
7603
    false
7604
    true
7605
    true
7606
    true
7607
   
7608
   
7609
    java.lang.String
7610
    
7611
    false
7612
    true
7613
    true
7614
    true
7615
   
7616
   
7617
    java.lang.String
7618
    UNKNOWN
7619
    false
7620
    true
7621
    true
7622
    true
7623
   
7624
   
7625
    boolean
7626
    false
7627
    false
7628
    true
7629
    true
7630
    true
7631
   
7632
   conduit
7633
   false
7634
   
7635
    dclk
7636
    Output
7637
    1
7638
    export
7639
   
7640
   
7641
    sce
7642
    Output
7643
    1
7644
    export
7645
   
7646
   
7647
    sdo
7648
    Output
7649
    1
7650
    export
7651
   
7652
   
7653
    data0
7654
    Input
7655
    1
7656
    export
7657
   
7658
  
7659
 
7660
 
7661
  
7663
  
7664
   java.lang.String
7665
   altpll_avalon_elaboration
7666
   false
7667
   true
7668
   false
7669
   true
7670
  
7671
  
7672
   java.lang.String
7673
   altpll_avalon_post_edit
7674
   false
7675
   true
7676
   false
7677
   true
7678
  
7679
  
7680
   java.lang.String
7681
   Cyclone III
7682
   false
7683
   true
7684
   true
7685
   true
7686
  
7687
  
7688
   java.lang.String
7689
   5
7690
   false
7691
   true
7692
   true
7693
   true
7694
  
7695
  
7696
   java.lang.String
7697
   
7698
   false
7699
   true
7700
   true
7701
   true
7702
  
7703
  
7704
   java.lang.String
7705
   
7706
   false
7707
   true
7708
   true
7709
   true
7710
  
7711
  
7712
   java.lang.String
7713
   20000
7714
   false
7715
   true
7716
   true
7717
   true
7718
  
7719
  
7720
   java.lang.String
7721
   
7722
   false
7723
   true
7724
   true
7725
   true
7726
  
7727
  
7728
   java.lang.String
7729
   NORMAL
7730
   false
7731
   true
7732
   true
7733
   true
7734
  
7735
  
7736
   java.lang.String
7737
   AUTO
7738
   false
7739
   true
7740
   true
7741
   true
7742
  
7743
  
7744
   java.lang.String
7745
   
7746
   false
7747
   true
7748
   true
7749
   true
7750
  
7751
  
7752
   java.lang.String
7753
   CLK0
7754
   false
7755
   true
7756
   true
7757
   true
7758
  
7759
  
7760
   java.lang.String
7761
   
7762
   false
7763
   true
7764
   true
7765
   true
7766
  
7767
  
7768
   java.lang.String
7769
   
7770
   false
7771
   true
7772
   true
7773
   true
7774
  
7775
  
7776
   java.lang.String
7777
   
7778
   false
7779
   true
7780
   true
7781
   true
7782
  
7783
  
7784
   java.lang.String
7785
   
7786
   false
7787
   true
7788
   true
7789
   true
7790
  
7791
  
7792
   java.lang.String
7793
   
7794
   false
7795
   true
7796
   true
7797
   true
7798
  
7799
  
7800
   java.lang.String
7801
   
7802
   false
7803
   true
7804
   true
7805
   true
7806
  
7807
  
7808
   java.lang.String
7809
   
7810
   false
7811
   true
7812
   true
7813
   true
7814
  
7815
  
7816
   java.lang.String
7817
   
7818
   false
7819
   true
7820
   true
7821
   true
7822
  
7823
  
7824
   java.lang.String
7825
   
7826
   false
7827
   true
7828
   true
7829
   true
7830
  
7831
  
7832
   java.lang.String
7833
   
7834
   false
7835
   true
7836
   true
7837
   true
7838
  
7839
  
7840
   java.lang.String
7841
   
7842
   false
7843
   true
7844
   true
7845
   true
7846
  
7847
  
7848
   java.lang.String
7849
   
7850
   false
7851
   true
7852
   true
7853
   true
7854
  
7855
  
7856
   java.lang.String
7857
   
7858
   false
7859
   true
7860
   true
7861
   true
7862
  
7863
  
7864
   java.lang.String
7865
   
7866
   false
7867
   true
7868
   true
7869
   true
7870
  
7871
  
7872
   java.lang.String
7873
   
7874
   false
7875
   true
7876
   true
7877
   true
7878
  
7879
  
7880
   java.lang.String
7881
   AUTO
7882
   false
7883
   true
7884
   true
7885
   true
7886
  
7887
  
7888
   java.lang.String
7889
   
7890
   false
7891
   true
7892
   true
7893
   true
7894
  
7895
  
7896
   java.lang.String
7897
   
7898
   false
7899
   true
7900
   true
7901
   true
7902
  
7903
  
7904
   java.lang.String
7905
   
7906
   false
7907
   true
7908
   true
7909
   true
7910
  
7911
  
7912
   java.lang.String
7913
   
7914
   false
7915
   true
7916
   true
7917
   true
7918
  
7919
  
7920
   java.lang.String
7921
   1
7922
   false
7923
   true
7924
   true
7925
   true
7926
  
7927
  
7928
   java.lang.String
7929
   1
7930
   false
7931
   true
7932
   true
7933
   true
7934
  
7935
  
7936
   java.lang.String
7937
   24
7938
   false
7939
   true
7940
   true
7941
   true
7942
  
7943
  
7944
   java.lang.String
7945
   1
7946
   false
7947
   true
7948
   true
7949
   true
7950
  
7951
  
7952
   java.lang.String
7953
   3
7954
   false
7955
   true
7956
   true
7957
   true
7958
  
7959
  
7960
   java.lang.String
7961
   
7962
   false
7963
   true
7964
   true
7965
   true
7966
  
7967
  
7968
   java.lang.String
7969
   
7970
   false
7971
   true
7972
   true
7973
   true
7974
  
7975
  
7976
   java.lang.String
7977
   
7978
   false
7979
   true
7980
   true
7981
   true
7982
  
7983
  
7984
   java.lang.String
7985
   
7986
   false
7987
   true
7988
   true
7989
   true
7990
  
7991
  
7992
   java.lang.String
7993
   
7994
   false
7995
   true
7996
   true
7997
   true
7998
  
7999
  
8000
   java.lang.String
8001
   
8002
   false
8003
   true
8004
   true
8005
   true
8006
  
8007
  
8008
   java.lang.String
8009
   
8010
   false
8011
   true
8012
   true
8013
   true
8014
  
8015
  
8016
   java.lang.String
8017
   
8018
   false
8019
   true
8020
   true
8021
   true
8022
  
8023
  
8024
   java.lang.String
8025
   
8026
   false
8027
   true
8028
   true
8029
   true
8030
  
8031
  
8032
   java.lang.String
8033
   1
8034
   false
8035
   true
8036
   true
8037
   true
8038
  
8039
  
8040
   java.lang.String
8041
   1
8042
   false
8043
   true
8044
   true
8045
   true
8046
  
8047
  
8048
   java.lang.String
8049
   25
8050
   false
8051
   true
8052
   true
8053
   true
8054
  
8055
  
8056
   java.lang.String
8057
   2
8058
   false
8059
   true
8060
   true
8061
   true
8062
  
8063
  
8064
   java.lang.String
8065
   1
8066
   false
8067
   true
8068
   true
8069
   true
8070
  
8071
  
8072
   java.lang.String
8073
   
8074
   false
8075
   true
8076
   true
8077
   true
8078
  
8079
  
8080
   java.lang.String
8081
   
8082
   false
8083
   true
8084
   true
8085
   true
8086
  
8087
  
8088
   java.lang.String
8089
   
8090
   false
8091
   true
8092
   true
8093
   true
8094
  
8095
  
8096
   java.lang.String
8097
   
8098
   false
8099
   true
8100
   true
8101
   true
8102
  
8103
  
8104
   java.lang.String
8105
   
8106
   false
8107
   true
8108
   true
8109
   true
8110
  
8111
  
8112
   java.lang.String
8113
   
8114
   false
8115
   true
8116
   true
8117
   true
8118
  
8119
  
8120
   java.lang.String
8121
   
8122
   false
8123
   true
8124
   true
8125
   true
8126
  
8127
  
8128
   java.lang.String
8129
   
8130
   false
8131
   true
8132
   true
8133
   true
8134
  
8135
  
8136
   java.lang.String
8137
   
8138
   false
8139
   true
8140
   true
8141
   true
8142
  
8143
  
8144
   java.lang.String
8145
   0
8146
   false
8147
   true
8148
   true
8149
   true
8150
  
8151
  
8152
   java.lang.String
8153
   -3333
8154
   false
8155
   true
8156
   true
8157
   true
8158
  
8159
  
8160
   java.lang.String
8161
   0
8162
   false
8163
   true
8164
   true
8165
   true
8166
  
8167
  
8168
   java.lang.String
8169
   0
8170
   false
8171
   true
8172
   true
8173
   true
8174
  
8175
  
8176
   java.lang.String
8177
   0
8178
   false
8179
   true
8180
   true
8181
   true
8182
  
8183
  
8184
   java.lang.String
8185
   
8186
   false
8187
   true
8188
   true
8189
   true
8190
  
8191
  
8192
   java.lang.String
8193
   
8194
   false
8195
   true
8196
   true
8197
   true
8198
  
8199
  
8200
   java.lang.String
8201
   
8202
   false
8203
   true
8204
   true
8205
   true
8206
  
8207
  
8208
   java.lang.String
8209
   
8210
   false
8211
   true
8212
   true
8213
   true
8214
  
8215
  
8216
   java.lang.String
8217
   
8218
   false
8219
   true
8220
   true
8221
   true
8222
  
8223
  
8224
   java.lang.String
8225
   
8226
   false
8227
   true
8228
   true
8229
   true
8230
  
8231
  
8232
   java.lang.String
8233
   
8234
   false
8235
   true
8236
   true
8237
   true
8238
  
8239
  
8240
   java.lang.String
8241
   
8242
   false
8243
   true
8244
   true
8245
   true
8246
  
8247
  
8248
   java.lang.String
8249
   
8250
   false
8251
   true
8252
   true
8253
   true
8254
  
8255
  
8256
   java.lang.String
8257
   50
8258
   false
8259
   true
8260
   true
8261
   true
8262
  
8263
  
8264
   java.lang.String
8265
   50
8266
   false
8267
   true
8268
   true
8269
   true
8270
  
8271
  
8272
   java.lang.String
8273
   50
8274
   false
8275
   true
8276
   true
8277
   true
8278
  
8279
  
8280
   java.lang.String
8281
   50
8282
   false
8283
   true
8284
   true
8285
   true
8286
  
8287
  
8288
   java.lang.String
8289
   50
8290
   false
8291
   true
8292
   true
8293
   true
8294
  
8295
  
8296
   java.lang.String
8297
   
8298
   false
8299
   true
8300
   true
8301
   true
8302
  
8303
  
8304
   java.lang.String
8305
   
8306
   false
8307
   true
8308
   true
8309
   true
8310
  
8311
  
8312
   java.lang.String
8313
   
8314
   false
8315
   true
8316
   true
8317
   true
8318
  
8319
  
8320
   java.lang.String
8321
   
8322
   false
8323
   true
8324
   true
8325
   true
8326
  
8327
  
8328
   java.lang.String
8329
   
8330
   false
8331
   true
8332
   true
8333
   true
8334
  
8335
  
8336
   java.lang.String
8337
   
8338
   false
8339
   true
8340
   true
8341
   true
8342
  
8343
  
8344
   java.lang.String
8345
   
8346
   false
8347
   true
8348
   true
8349
   true
8350
  
8351
  
8352
   java.lang.String
8353
   
8354
   false
8355
   true
8356
   true
8357
   true
8358
  
8359
  
8360
   java.lang.String
8361
   
8362
   false
8363
   true
8364
   true
8365
   true
8366
  
8367
  
8368
   java.lang.String
8369
   PORT_UNUSED
8370
   false
8371
   true
8372
   true
8373
   true
8374
  
8375
  
8376
   java.lang.String
8377
   PORT_UNUSED
8378
   false
8379
   true
8380
   true
8381
   true
8382
  
8383
  
8384
   java.lang.String
8385
   PORT_UNUSED
8386
   false
8387
   true
8388
   true
8389
   true
8390
  
8391
  
8392
   java.lang.String
8393
   PORT_UNUSED
8394
   false
8395
   true
8396
   true
8397
   true
8398
  
8399
  
8400
   java.lang.String
8401
   PORT_UNUSED
8402
   false
8403
   true
8404
   true
8405
   true
8406
  
8407
  
8408
   java.lang.String
8409
   PORT_UNUSED
8410
   false
8411
   true
8412
   true
8413
   true
8414
  
8415
  
8416
   java.lang.String
8417
   
8418
   false
8419
   true
8420
   true
8421
   true
8422
  
8423
  
8424
   java.lang.String
8425
   
8426
   false
8427
   true
8428
   true
8429
   true
8430
  
8431
  
8432
   java.lang.String
8433
   
8434
   false
8435
   true
8436
   true
8437
   true
8438
  
8439
  
8440
   java.lang.String
8441
   
8442
   false
8443
   true
8444
   true
8445
   true
8446
  
8447
  
8448
   java.lang.String
8449
   PORT_UNUSED
8450
   false
8451
   true
8452
   true
8453
   true
8454
  
8455
  
8456
   java.lang.String
8457
   PORT_UNUSED
8458
   false
8459
   true
8460
   true
8461
   true
8462
  
8463
  
8464
   java.lang.String
8465
   PORT_UNUSED
8466
   false
8467
   true
8468
   true
8469
   true
8470
  
8471
  
8472
   java.lang.String
8473
   PORT_UNUSED
8474
   false
8475
   true
8476
   true
8477
   true
8478
  
8479
  
8480
   java.lang.String
8481
   PORT_UNUSED
8482
   false
8483
   true
8484
   true
8485
   true
8486
  
8487
  
8488
   java.lang.String
8489
   PORT_UNUSED
8490
   false
8491
   true
8492
   true
8493
   true
8494
  
8495
  
8496
   java.lang.String
8497
   PORT_USED
8498
   false
8499
   true
8500
   true
8501
   true
8502
  
8503
  
8504
   java.lang.String
8505
   PORT_USED
8506
   false
8507
   true
8508
   true
8509
   true
8510
  
8511
  
8512
   java.lang.String
8513
   PORT_USED
8514
   false
8515
   true
8516
   true
8517
   true
8518
  
8519
  
8520
   java.lang.String
8521
   PORT_USED
8522
   false
8523
   true
8524
   true
8525
   true
8526
  
8527
  
8528
   java.lang.String
8529
   PORT_USED
8530
   false
8531
   true
8532
   true
8533
   true
8534
  
8535
  
8536
   java.lang.String
8537
   PORT_UNUSED
8538
   false
8539
   true
8540
   true
8541
   true
8542
  
8543
  
8544
   java.lang.String
8545
   
8546
   false
8547
   true
8548
   true
8549
   true
8550
  
8551
  
8552
   java.lang.String
8553
   
8554
   false
8555
   true
8556
   true
8557
   true
8558
  
8559
  
8560
   java.lang.String
8561
   
8562
   false
8563
   true
8564
   true
8565
   true
8566
  
8567
  
8568
   java.lang.String
8569
   
8570
   false
8571
   true
8572
   true
8573
   true
8574
  
8575
  
8576
   java.lang.String
8577
   PORT_UNUSED
8578
   false
8579
   true
8580
   true
8581
   true
8582
  
8583
  
8584
   java.lang.String
8585
   PORT_UNUSED
8586
   false
8587
   true
8588
   true
8589
   true
8590
  
8591
  
8592
   java.lang.String
8593
   PORT_UNUSED
8594
   false
8595
   true
8596
   true
8597
   true
8598
  
8599
  
8600
   java.lang.String
8601
   
8602
   false
8603
   true
8604
   true
8605
   true
8606
  
8607
  
8608
   java.lang.String
8609
   
8610
   false
8611
   true
8612
   true
8613
   true
8614
  
8615
  
8616
   java.lang.String
8617
   PORT_UNUSED
8618
   false
8619
   true
8620
   true
8621
   true
8622
  
8623
  
8624
   java.lang.String
8625
   PORT_UNUSED
8626
   false
8627
   true
8628
   true
8629
   true
8630
  
8631
  
8632
   java.lang.String
8633
   PORT_UNUSED
8634
   false
8635
   true
8636
   true
8637
   true
8638
  
8639
  
8640
   java.lang.String
8641
   PORT_USED
8642
   false
8643
   true
8644
   true
8645
   true
8646
  
8647
  
8648
   java.lang.String
8649
   PORT_UNUSED
8650
   false
8651
   true
8652
   true
8653
   true
8654
  
8655
  
8656
   java.lang.String
8657
   PORT_UNUSED
8658
   false
8659
   true
8660
   true
8661
   true
8662
  
8663
  
8664
   java.lang.String
8665
   PORT_UNUSED
8666
   false
8667
   true
8668
   true
8669
   true
8670
  
8671
  
8672
   java.lang.String
8673
   PORT_UNUSED
8674
   false
8675
   true
8676
   true
8677
   true
8678
  
8679
  
8680
   java.lang.String
8681
   PORT_UNUSED
8682
   false
8683
   true
8684
   true
8685
   true
8686
  
8687
  
8688
   java.lang.String
8689
   PORT_UNUSED
8690
   false
8691
   true
8692
   true
8693
   true
8694
  
8695
  
8696
   java.lang.String
8697
   PORT_UNUSED
8698
   false
8699
   true
8700
   true
8701
   true
8702
  
8703
  
8704
   java.lang.String
8705
   PORT_UNUSED
8706
   false
8707
   true
8708
   true
8709
   true
8710
  
8711
  
8712
   java.lang.String
8713
   PORT_UNUSED
8714
   false
8715
   true
8716
   true
8717
   true
8718
  
8719
  
8720
   java.lang.String
8721
   
8722
   false
8723
   true
8724
   true
8725
   true
8726
  
8727
  
8728
   java.lang.String
8729
   
8730
   false
8731
   true
8732
   true
8733
   true
8734
  
8735
  
8736
   java.lang.String
8737
   PORT_UNUSED
8738
   false
8739
   true
8740
   true
8741
   true
8742
  
8743
  
8744
   java.lang.String
8745
   PORT_UNUSED
8746
   false
8747
   true
8748
   true
8749
   true
8750
  
8751
  
8752
   java.lang.String
8753
   
8754
   false
8755
   true
8756
   true
8757
   true
8758
  
8759
  
8760
   java.lang.String
8761
   PORT_UNUSED
8762
   false
8763
   true
8764
   true
8765
   true
8766
  
8767
  
8768
   java.lang.String
8769
   PORT_UNUSED
8770
   false
8771
   true
8772
   true
8773
   true
8774
  
8775
  
8776
   java.lang.String
8777
   PORT_UNUSED
8778
   false
8779
   true
8780
   true
8781
   true
8782
  
8783
  
8784
   java.lang.String
8785
   PORT_UNUSED
8786
   false
8787
   true
8788
   true
8789
   true
8790
  
8791
  
8792
   java.lang.String
8793
   PORT_UNUSED
8794
   false
8795
   true
8796
   true
8797
   true
8798
  
8799
  
8800
   java.lang.String
8801
   
8802
   false
8803
   true
8804
   true
8805
   true
8806
  
8807
  
8808
   java.lang.String
8809
   
8810
   false
8811
   true
8812
   true
8813
   true
8814
  
8815
  
8816
   java.lang.String
8817
   
8818
   false
8819
   true
8820
   true
8821
   true
8822
  
8823
  
8824
   java.lang.String
8825
   
8826
   false
8827
   true
8828
   true
8829
   true
8830
  
8831
  
8832
   java.lang.String
8833
   
8834
   false
8835
   true
8836
   true
8837
   true
8838
  
8839
  
8840
   java.lang.String
8841
   
8842
   false
8843
   true
8844
   true
8845
   true
8846
  
8847
  
8848
   java.lang.String
8849
   
8850
   false
8851
   true
8852
   true
8853
   true
8854
  
8855
  
8856
   java.lang.String
8857
   
8858
   false
8859
   true
8860
   true
8861
   true
8862
  
8863
  
8864
   java.lang.String
8865
   
8866
   false
8867
   true
8868
   true
8869
   true
8870
  
8871
  
8872
   java.lang.String
8873
   
8874
   false
8875
   true
8876
   true
8877
   true
8878
  
8879
  
8880
   java.lang.String
8881
   
8882
   false
8883
   true
8884
   true
8885
   true
8886
  
8887
  
8888
   java.lang.String
8889
   
8890
   false
8891
   true
8892
   true
8893
   true
8894
  
8895
  
8896
   java.lang.String
8897
   
8898
   false
8899
   true
8900
   true
8901
   true
8902
  
8903
  
8904
   java.lang.String
8905
   NO
8906
   false
8907
   true
8908
   true
8909
   true
8910
  
8911
  
8912
   java.lang.String
8913
   CT#CLK2_DIVIDE_BY 25 CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_USED CT#PORT_clk3 PORT_USED CT#PORT_clk2 PORT_USED CT#PORT_clk1 PORT_USED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 1 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#CLK3_DUTY_CYCLE 50 CT#CLK3_DIVIDE_BY 2 CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#CLK3_PHASE_SHIFT 0 CT#PORT_SCANCLKENA PORT_UNUSED CT#CLK4_DIVIDE_BY 1 CT#WIDTH_CLOCK 5 CT#PORT_SCANDATAOUT PORT_UNUSED CT#CLK4_MULTIPLY_BY 3 CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#CLK1_DUTY_CYCLE 50 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#CLK1_MULTIPLY_BY 1 CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#CLK4_PHASE_SHIFT 0 CT#INCLK0_INPUT_FREQUENCY 20000 CT#CLK4_DUTY_CYCLE 50 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#CLK1_PHASE_SHIFT -3333 CT#PORT_ARESET PORT_UNUSED CT#BANDWIDTH_TYPE AUTO CT#CLK2_MULTIPLY_BY 24 CT#INTENDED_DEVICE_FAMILY {Cyclone III} CT#PORT_SCANREAD PORT_UNUSED CT#CLK2_DUTY_CYCLE 50 CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_extclk3 PORT_UNUSED CT#PORT_extclk2 PORT_UNUSED CT#PORT_extclk1 PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_extclk0 PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK2_PHASE_SHIFT 0 CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 1 CT#CLK1_DIVIDE_BY 1 CT#CLK3_MULTIPLY_BY 1 CT#PORT_LOCKED PORT_UNUSED
8914
   false
8915
   true
8916
   false
8917
   true
8918
  
8919
  
8920
   java.lang.String
8921
   PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 50.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#OUTPUT_FREQ_UNIT4 MHz PT#OUTPUT_FREQ_UNIT3 MHz PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT2 MHz PT#OUTPUT_FREQ_UNIT1 MHz PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 0 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#USE_CLK4 1 PT#USE_CLK3 1 PT#USE_CLK2 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK1 1 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#LVDS_PHASE_SHIFT_UNIT4 deg PT#LVDS_PHASE_SHIFT_UNIT3 deg PT#PLL_AUTOPLL_CHECK 1 PT#OUTPUT_FREQ_MODE4 1 PT#LVDS_PHASE_SHIFT_UNIT2 deg PT#OUTPUT_FREQ_MODE3 1 PT#LVDS_PHASE_SHIFT_UNIT1 deg PT#OUTPUT_FREQ_MODE2 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#OUTPUT_FREQ_MODE1 1 PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ4 150.00000000 PT#OUTPUT_FREQ3 25.00000000 PT#OUTPUT_FREQ2 48.00000000 PT#OUTPUT_FREQ1 50.00000000 PT#OUTPUT_FREQ0 50.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE Any PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#PHASE_SHIFT4 0.00000000 PT#LOCKED_OUTPUT_CHECK 0 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT3 0.00000000 PT#DIV_FACTOR4 1 PT#PHASE_SHIFT2 0.00000000 PT#DIV_FACTOR3 2 PT#DIV_FACTOR2 25 PT#PHASE_SHIFT1 -60.00000000 PT#DIV_FACTOR1 1 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#USE_CLKENA4 0 PT#USE_CLKENA3 0 PT#USE_CLKENA2 0 PT#USE_CLKENA1 0 PT#USE_CLKENA0 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE4 150.000000 PT#EFF_OUTPUT_FREQ_VALUE3 25.000000 PT#EFF_OUTPUT_FREQ_VALUE2 48.000000 PT#EFF_OUTPUT_FREQ_VALUE1 50.000000 PT#EFF_OUTPUT_FREQ_VALUE0 50.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK4 1 PT#STICKY_CLK3 1 PT#STICKY_CLK2 1 PT#STICKY_CLK1 1 PT#STICKY_CLK0 1 PT#MIRROR_CLK4 0 PT#EXT_FEEDBACK_RADIO 0 PT#MIRROR_CLK3 0 PT#MIRROR_CLK2 0 PT#MIRROR_CLK1 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#MIRROR_CLK0 0 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#CLKLOSS_CHECK 0 PT#PHASE_SHIFT_UNIT4 deg PT#PHASE_SHIFT_UNIT3 deg PT#PHASE_SHIFT_UNIT2 deg PT#PHASE_SHIFT_UNIT1 deg PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR4 1 PT#MULT_FACTOR3 1 PT#MULT_FACTOR2 24 PT#MULT_FACTOR1 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#DUTY_CYCLE4 50.00000000 PT#DUTY_CYCLE3 50.00000000 PT#DUTY_CYCLE2 50.00000000 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE1 50.00000000 PT#INTENDED_DEVICE_FAMILY {Cyclone III} PT#DUTY_CYCLE0 50.00000000 PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1372981370804131.mif PT#ACTIVECLK_CHECK 0
8922
   false
8923
   true
8924
   false
8925
   true
8926
  
8927
  
8928
   java.lang.String
8929
   UP#locked used UP#c4 used UP#c3 used UP#c2 used UP#c1 used UP#c0 used UP#areset used UP#inclk0 used
8930
   false
8931
   true
8932
   false
8933
   true
8934
  
8935
  
8936
   java.lang.String
8937
   IN#WIDTH_CLOCK 1 IN#CLK0_DUTY_CYCLE 1 IN#CLK2_DIVIDE_BY 1 IN#PLL_TARGET_HARCOPY_CHECK 1 IN#CLK3_DIVIDE_BY 1 IN#CLK4_MULTIPLY_BY 1 IN#CLK1_MULTIPLY_BY 1 IN#CLK3_DUTY_CYCLE 1 IN#CLK4_DIVIDE_BY 1 IN#SWITCHOVER_COUNT_EDIT 1 IN#INCLK0_INPUT_FREQUENCY 1 IN#PLL_LVDS_PLL_CHECK 1 IN#PLL_AUTOPLL_CHECK 1 IN#PLL_FASTPLL_CHECK 1 IN#CLK1_DUTY_CYCLE 1 IN#PLL_ENHPLL_CHECK 1 IN#CLK2_MULTIPLY_BY 1 IN#DIV_FACTOR4 1 IN#DIV_FACTOR3 1 IN#DIV_FACTOR2 1 IN#DIV_FACTOR1 1 IN#DIV_FACTOR0 1 IN#LVDS_MODE_DATA_RATE_DIRTY 1 IN#CLK4_DUTY_CYCLE 1 IN#GLOCK_COUNTER_EDIT 1 IN#CLK2_DUTY_CYCLE 1 IN#CLK0_DIVIDE_BY 1 IN#CLK3_MULTIPLY_BY 1 IN#MULT_FACTOR4 1 IN#MULT_FACTOR3 1 IN#MULT_FACTOR2 1 IN#MULT_FACTOR1 1 IN#MULT_FACTOR0 1 IN#CLK0_MULTIPLY_BY 1 IN#USE_MIL_SPEED_GRADE 1 IN#CLK1_DIVIDE_BY 1
8938
   false
8939
   true
8940
   false
8941
   true
8942
  
8943
  
8944
   java.lang.String
8945
   MF#areset 1 MF#clk 1 MF#locked 1 MF#inclk 1
8946
   false
8947
   true
8948
   false
8949
   true
8950
  
8951
  
8952
   java.lang.String
8953
   IF#locked {output 0} IF#reset {input 0} IF#clk {input 0} IF#readdata {output 32} IF#write {input 0} IF#phasedone {output 0} IF#c4 {output 0} IF#c3 {output 0} IF#address {input 2} IF#c2 {output 0} IF#c1 {output 0} IF#c0 {output 0} IF#writedata {input 32} IF#read {input 0} IF#areset {input 0}
8954
   false
8955
   true
8956
   false
8957
   true
8958
  
8959
  
8960
   java.lang.String
8961
   0
8962
   false
8963
   true
8964
   false
8965
   true
8966
  
8967
  
8968
   java.lang.Long
8969
   50000000
8970
   false
8971
   true
8972
   false
8973
   true
8974
  
8975
  
8976
   java.lang.String
8977
   CYCLONEIII
8978
   false
8979
   true
8980
   false
8981
   true
8982
  
8983
  
8984
   java.lang.String
8985
   Cyclone III
8986
   false
8987
   true
8988
   false
8989
   true
8990
  
8991
  
8992
   boolean
8993
   false
8994
   false
8995
   true
8996
   true
8997
   true
8998
  
8999
  
9000
   
9003
   
9004
    boolean
9005
    false
9006
    false
9007
    true
9008
    false
9009
    true
9010
   
9011
   
9012
    java.lang.String
9013
    
9014
    false
9015
    true
9016
    false
9017
    true
9018
   
9019
   
9020
    java.lang.String
9021
    UNKNOWN
9022
    false
9023
    true
9024
    true
9025
    true
9026
   
9027
   
9028
    boolean
9029
    false
9030
    false
9031
    true
9032
    true
9033
    true
9034
   
9035
   
9036
    java.lang.Boolean
9037
    true
9038
    true
9039
    true
9040
    false
9041
    true
9042
   
9043
   
9044
    java.lang.Long
9045
    50000000
9046
    true
9047
    true
9048
    false
9049
    true
9050
   
9051
   clock
9052
   false
9053
   
9054
    clk
9055
    Input
9056
    1
9057
    clk
9058
   
9059
  
9060
  
9061
   
9064
   
9065
    java.lang.String
9066
    inclk_interface
9067
    false
9068
    true
9069
    true
9070
    true
9071
   
9072
   
9073
    com.altera.sopcmodel.reset.Reset$Edges
9074
    DEASSERT
9075
    false
9076
    true
9077
    true
9078
    true
9079
   
9080
   
9081
    java.lang.String
9082
    UNKNOWN
9083
    false
9084
    true
9085
    true
9086
    true
9087
   
9088
   
9089
    boolean
9090
    false
9091
    false
9092
    true
9093
    true
9094
    true
9095
   
9096
   reset
9097
   false
9098
   
9099
    reset
9100
    Input
9101
    1
9102
    reset
9103
   
9104
  
9105
  
9106
   
9109
   
9110
    embeddedsw.configuration.isFlash
9111
    0
9112
   
9113
   
9114
    embeddedsw.configuration.isMemoryDevice
9115
    0
9116
   
9117
   
9118
    embeddedsw.configuration.isNonVolatileStorage
9119
    0
9120
   
9121
   
9122
    embeddedsw.configuration.isPrintableDevice
9123
    0
9124
   
9125
   
9126
    com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment
9127
    DYNAMIC
9128
    false
9129
    true
9130
    false
9131
    true
9132
   
9133
   
9134
    int
9135
    0
9136
    false
9137
    true
9138
    false
9139
    true
9140
   
9141
   
9142
    java.math.BigInteger
9143
    16
9144
    true
9145
    true
9146
    false
9147
    true
9148
   
9149
   
9150
    com.altera.sopcmodel.avalon.EAddrBurstUnits
9151
    WORDS
9152
    false
9153
    true
9154
    true
9155
    true
9156
   
9157
   
9158
    boolean
9159
    false
9160
    false
9161
    true
9162
    false
9163
    true
9164
   
9165
   
9166
    java.lang.String
9167
    inclk_interface
9168
    false
9169
    true
9170
    true
9171
    true
9172
   
9173
   
9174
    java.lang.String
9175
    inclk_interface_reset
9176
    false
9177
    true
9178
    true
9179
    true
9180
   
9181
   
9182
    int
9183
    8
9184
    false
9185
    true
9186
    true
9187
    true
9188
   
9189
   
9190
    com.altera.entityinterfaces.IConnectionPoint
9191
    
9192
    false
9193
    true
9194
    false
9195
    true
9196
   
9197
   
9198
    boolean
9199
    false
9200
    false
9201
    true
9202
    true
9203
    true
9204
   
9205
   
9206
    com.altera.sopcmodel.avalon.EAddrBurstUnits
9207
    WORDS
9208
    false
9209
    true
9210
    true
9211
    true
9212
   
9213
   
9214
    boolean
9215
    false
9216
    false
9217
    true
9218
    false
9219
    true
9220
   
9221
   
9222
    java.math.BigInteger
9223
    0
9224
    false
9225
    true
9226
    true
9227
    true
9228
   
9229
   
9230
    int
9231
    0
9232
    false
9233
    true
9234
    true
9235
    true
9236
   
9237
   
9238
    boolean
9239
    false
9240
    false
9241
    true
9242
    false
9243
    true
9244
   
9245
   
9246
    boolean
9247
    false
9248
    false
9249
    true
9250
    false
9251
    true
9252
   
9253
   
9254
    boolean
9255
    false
9256
    false
9257
    true
9258
    false
9259
    true
9260
   
9261
   
9262
    boolean
9263
    false
9264
    false
9265
    true
9266
    false
9267
    true
9268
   
9269
   
9270
    boolean
9271
    false
9272
    false
9273
    true
9274
    false
9275
    true
9276
   
9277
   
9278
    boolean
9279
    false
9280
    false
9281
    true
9282
    true
9283
    true
9284
   
9285
   
9286
    int
9287
    0
9288
    false
9289
    false
9290
    true
9291
    true
9292
   
9293
   
9294
    int
9295
    0
9296
    false
9297
    false
9298
    false
9299
    true
9300
   
9301
   
9302
    int
9303
    1
9304
    false
9305
    true
9306
    false
9307
    true
9308
   
9309
   
9310
    boolean
9311
    false
9312
    false
9313
    true
9314
    false
9315
    true
9316
   
9317
   
9318
    int
9319
    0
9320
    false
9321
    true
9322
    true
9323
    true
9324
   
9325
   
9326
    int
9327
    0
9328
    false
9329
    true
9330
    false
9331
    true
9332
   
9333
   
9334
    int
9335
    0
9336
    false
9337
    true
9338
    true
9339
    true
9340
   
9341
   
9342
    boolean
9343
    false
9344
    false
9345
    true
9346
    false
9347
    true
9348
   
9349
   
9350
    boolean
9351
    false
9352
    false
9353
    true
9354
    false
9355
    true
9356
   
9357
   
9358
    int
9359
    0
9360
    false
9361
    true
9362
    true
9363
    true
9364
   
9365
   
9366
    com.altera.sopcmodel.avalon.TimingUnits
9367
    Cycles
9368
    false
9369
    true
9370
    true
9371
    true
9372
   
9373
   
9374
    boolean
9375
    false
9376
    false
9377
    true
9378
    false
9379
    true
9380
   
9381
   
9382
    boolean
9383
    false
9384
    false
9385
    true
9386
    false
9387
    true
9388
   
9389
   
9390
    int
9391
    0
9392
    false
9393
    true
9394
    false
9395
    true
9396
   
9397
   
9398
    int
9399
    0
9400
    false
9401
    true
9402
    false
9403
    true
9404
   
9405
   
9406
    int
9407
    0
9408
    false
9409
    true
9410
    true
9411
    true
9412
   
9413
   
9414
    java.lang.String
9415
    UNKNOWN
9416
    false
9417
    true
9418
    true
9419
    true
9420
   
9421
   
9422
    boolean
9423
    false
9424
    false
9425
    true
9426
    true
9427
    true
9428
   
9429
   avalon
9430
   false
9431
   
9432
    read
9433
    Input
9434
    1
9435
    read
9436
   
9437
   
9438
    write
9439
    Input
9440
    1
9441
    write
9442
   
9443
   
9444
    address
9445
    Input
9446
    2
9447
    address
9448
   
9449
   
9450
    readdata
9451
    Output
9452
    32
9453
    readdata
9454
   
9455
   
9456
    writedata
9457
    Input
9458
    32
9459
    writedata
9460
   
9461
  
9462
  
9463
   
9466
   
9467
    java.lang.String
9468
    
9469
    false
9470
    true
9471
    true
9472
    true
9473
   
9474
   
9475
    long
9476
    50000000
9477
    false
9478
    true
9479
    true
9480
    true
9481
   
9482
   
9483
    boolean
9484
    true
9485
    false
9486
    true
9487
    true
9488
    true
9489
   
9490
   
9491
    boolean
9492
    false
9493
    false
9494
    true
9495
    false
9496
    true
9497
   
9498
   
9499
    java.lang.String
9500
    
9501
    false
9502
    true
9503
    false
9504
    true
9505
   
9506
   
9507
    java.lang.String
9508
    UNKNOWN
9509
    false
9510
    true
9511
    true
9512
    true
9513
   
9514
   
9515
    boolean
9516
    false
9517
    false
9518
    true
9519
    true
9520
    true
9521
   
9522
   clock
9523
   true
9524
   
9525
    c0
9526
    Output
9527
    1
9528
    clk
9529
   
9530
   
9531
    false
9532
    new_sdram_controller_0
9533
    clk
9534
    new_sdram_controller_0.clk
9535
   
9536
   
9537
    false
9538
    sysid_qsys_0
9539
    clk
9540
    sysid_qsys_0.clk
9541
   
9542
   
9543
    false
9544
    jtag_uart_0
9545
    clk
9546
    jtag_uart_0.clk
9547
   
9548
   
9549
    false
9550
    pio_0
9551
    clk
9552
    pio_0.clk
9553
   
9554
   
9555
    false
9556
    timer_0
9557
    clk
9558
    timer_0.clk
9559
   
9560
   
9561
    false
9562
    epcs_flash_controller_0
9563
    clk
9564
    epcs_flash_controller_0.clk
9565
   
9566
   
9567
    false
9568
    nios2_qsys_0
9569
    clk
9570
    nios2_qsys_0.clk
9571
   
9572
   
9573
    false
9574
    fm_vga_wrapper_0
9575
    clock_sink
9576
    fm_vga_wrapper_0.clock_sink
9577
   
9578
   
9579
    false
9580
    fm_3d_wrapper_0
9581
    clock_sink
9582
    fm_3d_wrapper_0.clock_sink
9583
   
9584
  
9585
  
9586
   
9589
   
9590
    java.lang.String
9591
    
9592
    false
9593
    true
9594
    true
9595
    true
9596
   
9597
   
9598
    long
9599
    50000000
9600
    false
9601
    true
9602
    true
9603
    true
9604
   
9605
   
9606
    boolean
9607
    true
9608
    false
9609
    true
9610
    true
9611
    true
9612
   
9613
   
9614
    boolean
9615
    false
9616
    false
9617
    true
9618
    false
9619
    true
9620
   
9621
   
9622
    java.lang.String
9623
    
9624
    false
9625
    true
9626
    false
9627
    true
9628
   
9629
   
9630
    java.lang.String
9631
    UNKNOWN
9632
    false
9633
    true
9634
    true
9635
    true
9636
   
9637
   
9638
    boolean
9639
    false
9640
    false
9641
    true
9642
    true
9643
    true
9644
   
9645
   clock
9646
   true
9647
   
9648
    c1
9649
    Output
9650
    1
9651
    clk
9652
   
9653
  
9654
  
9655
   
9658
   
9659
    java.lang.String
9660
    
9661
    false
9662
    true
9663
    true
9664
    true
9665
   
9666
   
9667
    long
9668
    48000000
9669
    false
9670
    true
9671
    true
9672
    true
9673
   
9674
   
9675
    boolean
9676
    true
9677
    false
9678
    true
9679
    true
9680
    true
9681
   
9682
   
9683
    boolean
9684
    false
9685
    false
9686
    true
9687
    false
9688
    true
9689
   
9690
   
9691
    java.lang.String
9692
    
9693
    false
9694
    true
9695
    false
9696
    true
9697
   
9698
   
9699
    java.lang.String
9700
    UNKNOWN
9701
    false
9702
    true
9703
    true
9704
    true
9705
   
9706
   
9707
    boolean
9708
    false
9709
    false
9710
    true
9711
    true
9712
    true
9713
   
9714
   clock
9715
   true
9716
   
9717
    c2
9718
    Output
9719
    1
9720
    clk
9721
   
9722
  
9723
  
9724
   
9727
   
9728
    java.lang.String
9729
    
9730
    false
9731
    true
9732
    true
9733
    true
9734
   
9735
   
9736
    long
9737
    25000000
9738
    false
9739
    true
9740
    true
9741
    true
9742
   
9743
   
9744
    boolean
9745
    true
9746
    false
9747
    true
9748
    true
9749
    true
9750
   
9751
   
9752
    boolean
9753
    false
9754
    false
9755
    true
9756
    false
9757
    true
9758
   
9759
   
9760
    java.lang.String
9761
    
9762
    false
9763
    true
9764
    false
9765
    true
9766
   
9767
   
9768
    java.lang.String
9769
    UNKNOWN
9770
    false
9771
    true
9772
    true
9773
    true
9774
   
9775
   
9776
    boolean
9777
    false
9778
    false
9779
    true
9780
    true
9781
    true
9782
   
9783
   clock
9784
   true
9785
   
9786
    c3
9787
    Output
9788
    1
9789
    clk
9790
   
9791
   
9792
    false
9793
    fm_vga_wrapper_0
9794
    clock_sink_1
9795
    fm_vga_wrapper_0.clock_sink_1
9796
   
9797
  
9798
  
9799
   
9802
   
9803
    java.lang.String
9804
    
9805
    false
9806
    true
9807
    true
9808
    true
9809
   
9810
   
9811
    long
9812
    150000000
9813
    false
9814
    true
9815
    true
9816
    true
9817
   
9818
   
9819
    boolean
9820
    true
9821
    false
9822
    true
9823
    true
9824
    true
9825
   
9826
   
9827
    boolean
9828
    false
9829
    false
9830
    true
9831
    false
9832
    true
9833
   
9834
   
9835
    java.lang.String
9836
    
9837
    false
9838
    true
9839
    false
9840
    true
9841
   
9842
   
9843
    java.lang.String
9844
    UNKNOWN
9845
    false
9846
    true
9847
    true
9848
    true
9849
   
9850
   
9851
    boolean
9852
    false
9853
    false
9854
    true
9855
    true
9856
    true
9857
   
9858
   clock
9859
   true
9860
   
9861
    c4
9862
    Output
9863
    1
9864
    clk
9865
   
9866
  
9867
  
9868
   
9871
   
9872
    java.lang.String
9873
    
9874
    false
9875
    true
9876
    true
9877
    true
9878
   
9879
   
9880
    java.lang.String
9881
    
9882
    false
9883
    true
9884
    true
9885
    true
9886
   
9887
   
9888
    java.lang.String
9889
    UNKNOWN
9890
    false
9891
    true
9892
    true
9893
    true
9894
   
9895
   
9896
    boolean
9897
    false
9898
    false
9899
    true
9900
    true
9901
    true
9902
   
9903
   conduit
9904
   false
9905
   
9906
    areset
9907
    Input
9908
    1
9909
    export
9910
   
9911
  
9912
  
9913
   
9916
   
9917
    java.lang.String
9918
    
9919
    false
9920
    true
9921
    true
9922
    true
9923
   
9924
   
9925
    java.lang.String
9926
    
9927
    false
9928
    true
9929
    true
9930
    true
9931
   
9932
   
9933
    java.lang.String
9934
    UNKNOWN
9935
    false
9936
    true
9937
    true
9938
    true
9939
   
9940
   
9941
    boolean
9942
    false
9943
    false
9944
    true
9945
    true
9946
    true
9947
   
9948
   conduit
9949
   false
9950
   
9951
    locked
9952
    Output
9953
    1
9954
    export
9955
   
9956
  
9957
  
9958
   
9961
   
9962
    java.lang.String
9963
    
9964
    false
9965
    true
9966
    true
9967
    true
9968
   
9969
   
9970
    java.lang.String
9971
    
9972
    false
9973
    true
9974
    true
9975
    true
9976
   
9977
   
9978
    java.lang.String
9979
    UNKNOWN
9980
    false
9981
    true
9982
    true
9983
    true
9984
   
9985
   
9986
    boolean
9987
    false
9988
    false
9989
    true
9990
    true
9991
    true
9992
   
9993
   conduit
9994
   false
9995
   
9996
    phasedone
9997
    Output
9998
    1
9999
    export
10000
   
10001
  
10002
 
10003
 
10004
   name="fm_3d_wrapper_0"
10005
   kind="fm_3d_wrapprt"
10006
   version="1.0"
10007
   path="fm_3d_wrapper_0">
10008
  
10010
  
10011
   java.lang.Long
10012
   50000000
10013
   false
10014
   true
10015
   false
10016
   true
10017
  
10018
  
10019
   java.lang.String
10020
   UNKNOWN
10021
   false
10022
   true
10023
   true
10024
   true
10025
  
10026
  
10027
   boolean
10028
   false
10029
   false
10030
   true
10031
   true
10032
   true
10033
  
10034
  
10035
   
10038
   
10039
    boolean
10040
    false
10041
    false
10042
    true
10043
    false
10044
    true
10045
   
10046
   
10047
    java.lang.String
10048
    
10049
    false
10050
    true
10051
    false
10052
    true
10053
   
10054
   
10055
    java.lang.String
10056
    UNKNOWN
10057
    false
10058
    true
10059
    true
10060
    true
10061
   
10062
   
10063
    boolean
10064
    false
10065
    false
10066
    true
10067
    true
10068
    true
10069
   
10070
   
10071
    java.lang.Boolean
10072
    true
10073
    true
10074
    true
10075
    false
10076
    true
10077
   
10078
   
10079
    java.lang.Long
10080
    50000000
10081
    true
10082
    true
10083
    false
10084
    true
10085
   
10086
   clock
10087
   false
10088
   
10089
    clk_core
10090
    Input
10091
    1
10092
    clk
10093
   
10094
  
10095
  
10096
   
10099
   
10100
    com.altera.entityinterfaces.IConnectionPoint
10101
    fm_3d_wrapper_0.avalon_slave
10102
    false
10103
    true
10104
    true
10105
    true
10106
   
10107
   
10108
    java.lang.String
10109
    clock_sink
10110
    false
10111
    true
10112
    false
10113
    true
10114
   
10115
   
10116
    java.lang.String
10117
    reset_sink
10118
    false
10119
    true
10120
    false
10121
    true
10122
   
10123
   
10124
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
10125
    NONE
10126
    false
10127
    true
10128
    false
10129
    true
10130
   
10131
   
10132
    java.lang.String
10133
    UNKNOWN
10134
    false
10135
    true
10136
    true
10137
    true
10138
   
10139
   
10140
    boolean
10141
    false
10142
    false
10143
    true
10144
    true
10145
    true
10146
   
10147
   interrupt
10148
   false
10149
   
10150
    o_int
10151
    Output
10152
    1
10153
    irq
10154
   
10155
  
10156
  
10157
   
10160
   
10161
    embeddedsw.configuration.isFlash
10162
    0
10163
   
10164
   
10165
    embeddedsw.configuration.isMemoryDevice
10166
    0
10167
   
10168
   
10169
    embeddedsw.configuration.isNonVolatileStorage
10170
    0
10171
   
10172
   
10173
    embeddedsw.configuration.isPrintableDevice
10174
    0
10175
   
10176
   
10177
    com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment
10178
    DYNAMIC
10179
    false
10180
    true
10181
    false
10182
    true
10183
   
10184
   
10185
    int
10186
    0
10187
    false
10188
    true
10189
    false
10190
    true
10191
   
10192
   
10193
    java.math.BigInteger
10194
    256
10195
    true
10196
    true
10197
    false
10198
    true
10199
   
10200
   
10201
    com.altera.sopcmodel.avalon.EAddrBurstUnits
10202
    WORDS
10203
    false
10204
    true
10205
    true
10206
    true
10207
   
10208
   
10209
    boolean
10210
    false
10211
    false
10212
    true
10213
    false
10214
    true
10215
   
10216
   
10217
    java.lang.String
10218
    clock_sink
10219
    false
10220
    true
10221
    true
10222
    true
10223
   
10224
   
10225
    java.lang.String
10226
    reset_sink
10227
    false
10228
    true
10229
    true
10230
    true
10231
   
10232
   
10233
    int
10234
    8
10235
    false
10236
    true
10237
    true
10238
    true
10239
   
10240
   
10241
    com.altera.entityinterfaces.IConnectionPoint
10242
    
10243
    false
10244
    true
10245
    false
10246
    true
10247
   
10248
   
10249
    boolean
10250
    false
10251
    false
10252
    true
10253
    true
10254
    true
10255
   
10256
   
10257
    com.altera.sopcmodel.avalon.EAddrBurstUnits
10258
    WORDS
10259
    false
10260
    true
10261
    true
10262
    true
10263
   
10264
   
10265
    boolean
10266
    false
10267
    false
10268
    true
10269
    false
10270
    true
10271
   
10272
   
10273
    java.math.BigInteger
10274
    0
10275
    false
10276
    true
10277
    true
10278
    true
10279
   
10280
   
10281
    int
10282
    0
10283
    false
10284
    false
10285
    true
10286
    true
10287
   
10288
   
10289
    boolean
10290
    false
10291
    false
10292
    true
10293
    false
10294
    true
10295
   
10296
   
10297
    boolean
10298
    false
10299
    false
10300
    true
10301
    false
10302
    true
10303
   
10304
   
10305
    boolean
10306
    false
10307
    false
10308
    true
10309
    false
10310
    true
10311
   
10312
   
10313
    boolean
10314
    false
10315
    false
10316
    true
10317
    false
10318
    true
10319
   
10320
   
10321
    boolean
10322
    false
10323
    false
10324
    true
10325
    false
10326
    true
10327
   
10328
   
10329
    boolean
10330
    false
10331
    false
10332
    true
10333
    true
10334
    true
10335
   
10336
   
10337
    int
10338
    0
10339
    false
10340
    false
10341
    true
10342
    true
10343
   
10344
   
10345
    int
10346
    0
10347
    false
10348
    false
10349
    false
10350
    true
10351
   
10352
   
10353
    int
10354
    1
10355
    false
10356
    true
10357
    false
10358
    true
10359
   
10360
   
10361
    boolean
10362
    false
10363
    false
10364
    true
10365
    false
10366
    true
10367
   
10368
   
10369
    int
10370
    0
10371
    false
10372
    true
10373
    true
10374
    true
10375
   
10376
   
10377
    int
10378
    1
10379
    false
10380
    true
10381
    false
10382
    true
10383
   
10384
   
10385
    int
10386
    1
10387
    false
10388
    false
10389
    true
10390
    true
10391
   
10392
   
10393
    boolean
10394
    false
10395
    false
10396
    true
10397
    false
10398
    true
10399
   
10400
   
10401
    boolean
10402
    false
10403
    false
10404
    true
10405
    false
10406
    true
10407
   
10408
   
10409
    int
10410
    0
10411
    false
10412
    false
10413
    true
10414
    true
10415
   
10416
   
10417
    com.altera.sopcmodel.avalon.TimingUnits
10418
    Cycles
10419
    false
10420
    false
10421
    true
10422
    true
10423
   
10424
   
10425
    boolean
10426
    false
10427
    false
10428
    true
10429
    false
10430
    true
10431
   
10432
   
10433
    boolean
10434
    false
10435
    false
10436
    true
10437
    false
10438
    true
10439
   
10440
   
10441
    int
10442
    0
10443
    false
10444
    true
10445
    false
10446
    true
10447
   
10448
   
10449
    int
10450
    0
10451
    false
10452
    true
10453
    false
10454
    true
10455
   
10456
   
10457
    int
10458
    0
10459
    false
10460
    false
10461
    true
10462
    true
10463
   
10464
   
10465
    java.lang.String
10466
    UNKNOWN
10467
    false
10468
    true
10469
    true
10470
    true
10471
   
10472
   
10473
    boolean
10474
    false
10475
    false
10476
    true
10477
    true
10478
    true
10479
   
10480
   avalon
10481
   false
10482
   
10483
    i_avs_adr
10484
    Input
10485
    6
10486
    address
10487
   
10488
   
10489
    i_avs_be
10490
    Input
10491
    4
10492
    byteenable
10493
   
10494
   
10495
    i_avs_r
10496
    Input
10497
    1
10498
    read
10499
   
10500
   
10501
    o_avs_rd
10502
    Output
10503
    32
10504
    readdata
10505
   
10506
   
10507
    i_avs_w
10508
    Input
10509
    1
10510
    write
10511
   
10512
   
10513
    i_avs_wd
10514
    Input
10515
    32
10516
    writedata
10517
   
10518
   
10519
    o_avs_wait
10520
    Output
10521
    1
10522
    waitrequest
10523
   
10524
  
10525
  
10526
   
10529
   
10530
    com.altera.entityinterfaces.IConnectionPoint
10531
    
10532
    false
10533
    true
10534
    false
10535
    true
10536
   
10537
   
10538
    int
10539
    0
10540
    false
10541
    true
10542
    false
10543
    true
10544
   
10545
   
10546
    com.altera.sopcmodel.avalon.EAddrBurstUnits
10547
    SYMBOLS
10548
    false
10549
    true
10550
    true
10551
    true
10552
   
10553
   
10554
    boolean
10555
    false
10556
    false
10557
    true
10558
    false
10559
    true
10560
   
10561
   
10562
    java.lang.String
10563
    clock_sink
10564
    false
10565
    true
10566
    true
10567
    true
10568
   
10569
   
10570
    java.lang.String
10571
    reset_sink
10572
    false
10573
    true
10574
    true
10575
    true
10576
   
10577
   
10578
    int
10579
    8
10580
    false
10581
    true
10582
    true
10583
    true
10584
   
10585
   
10586
    boolean
10587
    false
10588
    false
10589
    true
10590
    true
10591
    true
10592
   
10593
   
10594
    com.altera.sopcmodel.avalon.EAddrBurstUnits
10595
    WORDS
10596
    false
10597
    true
10598
    true
10599
    true
10600
   
10601
   
10602
    boolean
10603
    false
10604
    false
10605
    true
10606
    false
10607
    true
10608
   
10609
   
10610
    boolean
10611
    false
10612
    false
10613
    true
10614
    false
10615
    true
10616
   
10617
   
10618
    boolean
10619
    false
10620
    false
10621
    true
10622
    true
10623
    true
10624
   
10625
   
10626
    boolean
10627
    false
10628
    false
10629
    true
10630
    true
10631
    true
10632
   
10633
   
10634
    int
10635
    0
10636
    false
10637
    true
10638
    true
10639
    true
10640
   
10641
   
10642
    boolean
10643
    false
10644
    false
10645
    true
10646
    false
10647
    true
10648
   
10649
   
10650
    boolean
10651
    false
10652
    false
10653
    true
10654
    false
10655
    true
10656
   
10657
   
10658
    boolean
10659
    false
10660
    false
10661
    true
10662
    false
10663
    true
10664
   
10665
   
10666
    boolean
10667
    false
10668
    false
10669
    true
10670
    false
10671
    true
10672
   
10673
   
10674
    boolean
10675
    false
10676
    false
10677
    true
10678
    false
10679
    true
10680
   
10681
   
10682
    boolean
10683
    false
10684
    false
10685
    true
10686
    true
10687
    true
10688
   
10689
   
10690
    int
10691
    32
10692
    false
10693
    true
10694
    false
10695
    true
10696
   
10697
   
10698
    int
10699
    0
10700
    false
10701
    true
10702
    true
10703
    true
10704
   
10705
   
10706
    int
10707
    0
10708
    false
10709
    true
10710
    false
10711
    true
10712
   
10713
   
10714
    int
10715
    0
10716
    false
10717
    true
10718
    true
10719
    true
10720
   
10721
   
10722
    int
10723
    1
10724
    false
10725
    true
10726
    true
10727
    true
10728
   
10729
   
10730
    boolean
10731
    false
10732
    false
10733
    true
10734
    false
10735
    true
10736
   
10737
   
10738
    boolean
10739
    false
10740
    false
10741
    true
10742
    false
10743
    true
10744
   
10745
   
10746
    int
10747
    0
10748
    false
10749
    true
10750
    true
10751
    true
10752
   
10753
   
10754
    com.altera.sopcmodel.avalon.TimingUnits
10755
    Cycles
10756
    false
10757
    true
10758
    true
10759
    true
10760
   
10761
   
10762
    int
10763
    0
10764
    false
10765
    true
10766
    true
10767
    true
10768
   
10769
   
10770
    java.lang.String
10771
    UNKNOWN
10772
    false
10773
    true
10774
    true
10775
    true
10776
   
10777
   
10778
    boolean
10779
    false
10780
    false
10781
    true
10782
    true
10783
    true
10784
   
10785
   avalon
10786
   true
10787
   
10788
    o_avm_adr
10789
    Output
10790
    26
10791
    address
10792
   
10793
   
10794
    o_avm_be
10795
    Output
10796
    4
10797
    byteenable
10798
   
10799
   
10800
    o_avm_wd
10801
    Output
10802
    32
10803
    writedata
10804
   
10805
   
10806
    o_avm_blen
10807
    Output
10808
    3
10809
    burstcount
10810
   
10811
   
10812
    o_avm_r
10813
    Output
10814
    1
10815
    read
10816
   
10817
   
10818
    o_avm_w
10819
    Output
10820
    1
10821
    write
10822
   
10823
   
10824
    i_avm_wait
10825
    Input
10826
    1
10827
    waitrequest
10828
   
10829
   
10830
    i_avm_rd
10831
    Input
10832
    32
10833
    readdata
10834
   
10835
   
10836
    i_avm_rvalid
10837
    Input
10838
    1
10839
    readdatavalid
10840
   
10841
   
10842
    false
10843
    new_sdram_controller_0
10844
    s1
10845
    new_sdram_controller_0.s1
10846
    33554432
10847
    8388608
10848
   
10849
  
10850
  
10851
   
10854
   
10855
    java.lang.String
10856
    clock_sink
10857
    false
10858
    true
10859
    true
10860
    true
10861
   
10862
   
10863
    com.altera.sopcmodel.reset.Reset$Edges
10864
    DEASSERT
10865
    false
10866
    true
10867
    true
10868
    true
10869
   
10870
   
10871
    java.lang.String
10872
    UNKNOWN
10873
    false
10874
    true
10875
    true
10876
    true
10877
   
10878
   
10879
    boolean
10880
    false
10881
    false
10882
    true
10883
    true
10884
    true
10885
   
10886
   reset
10887
   false
10888
   
10889
    rst_x
10890
    Input
10891
    1
10892
    reset_n
10893
   
10894
  
10895
 
10896
 
10897
   name="fm_vga_wrapper_0"
10898
   kind="fm_vga_wrapper"
10899
   version="1.0"
10900
   path="fm_vga_wrapper_0">
10901
  
10903
  
10904
   java.lang.Long
10905
   50000000
10906
   false
10907
   true
10908
   false
10909
   true
10910
  
10911
  
10912
   java.lang.Long
10913
   25000000
10914
   false
10915
   true
10916
   false
10917
   true
10918
  
10919
  
10920
   java.lang.String
10921
   UNKNOWN
10922
   false
10923
   true
10924
   true
10925
   true
10926
  
10927
  
10928
   boolean
10929
   false
10930
   false
10931
   true
10932
   true
10933
   true
10934
  
10935
  
10936
   
10939
   
10940
    boolean
10941
    false
10942
    false
10943
    true
10944
    false
10945
    true
10946
   
10947
   
10948
    java.lang.String
10949
    
10950
    false
10951
    true
10952
    false
10953
    true
10954
   
10955
   
10956
    java.lang.String
10957
    UNKNOWN
10958
    false
10959
    true
10960
    true
10961
    true
10962
   
10963
   
10964
    boolean
10965
    false
10966
    false
10967
    true
10968
    true
10969
    true
10970
   
10971
   
10972
    java.lang.Boolean
10973
    true
10974
    true
10975
    true
10976
    false
10977
    true
10978
   
10979
   
10980
    java.lang.Long
10981
    50000000
10982
    true
10983
    true
10984
    false
10985
    true
10986
   
10987
   clock
10988
   false
10989
   
10990
    clk_core
10991
    Input
10992
    1
10993
    clk
10994
   
10995
  
10996
  
10997
   
11000
   
11001
    java.lang.String
11002
    clock_sink
11003
    false
11004
    true
11005
    true
11006
    true
11007
   
11008
   
11009
    com.altera.sopcmodel.reset.Reset$Edges
11010
    DEASSERT
11011
    false
11012
    true
11013
    true
11014
    true
11015
   
11016
   
11017
    java.lang.String
11018
    UNKNOWN
11019
    false
11020
    true
11021
    true
11022
    true
11023
   
11024
   
11025
    boolean
11026
    false
11027
    false
11028
    true
11029
    true
11030
    true
11031
   
11032
   reset
11033
   false
11034
   
11035
    rst_x
11036
    Input
11037
    1
11038
    reset_n
11039
   
11040
  
11041
  
11042
   
11045
   
11046
    com.altera.entityinterfaces.IConnectionPoint
11047
    
11048
    false
11049
    true
11050
    false
11051
    true
11052
   
11053
   
11054
    int
11055
    0
11056
    false
11057
    true
11058
    false
11059
    true
11060
   
11061
   
11062
    com.altera.sopcmodel.avalon.EAddrBurstUnits
11063
    SYMBOLS
11064
    false
11065
    true
11066
    true
11067
    true
11068
   
11069
   
11070
    boolean
11071
    false
11072
    false
11073
    true
11074
    false
11075
    true
11076
   
11077
   
11078
    java.lang.String
11079
    clock_sink
11080
    false
11081
    true
11082
    true
11083
    true
11084
   
11085
   
11086
    java.lang.String
11087
    reset_sink
11088
    false
11089
    true
11090
    true
11091
    true
11092
   
11093
   
11094
    int
11095
    8
11096
    false
11097
    true
11098
    true
11099
    true
11100
   
11101
   
11102
    boolean
11103
    false
11104
    false
11105
    true
11106
    true
11107
    true
11108
   
11109
   
11110
    com.altera.sopcmodel.avalon.EAddrBurstUnits
11111
    WORDS
11112
    false
11113
    true
11114
    true
11115
    true
11116
   
11117
   
11118
    boolean
11119
    false
11120
    false
11121
    true
11122
    false
11123
    true
11124
   
11125
   
11126
    boolean
11127
    false
11128
    false
11129
    true
11130
    false
11131
    true
11132
   
11133
   
11134
    boolean
11135
    false
11136
    false
11137
    true
11138
    true
11139
    true
11140
   
11141
   
11142
    boolean
11143
    false
11144
    false
11145
    true
11146
    true
11147
    true
11148
   
11149
   
11150
    int
11151
    0
11152
    false
11153
    true
11154
    true
11155
    true
11156
   
11157
   
11158
    boolean
11159
    false
11160
    false
11161
    true
11162
    false
11163
    true
11164
   
11165
   
11166
    boolean
11167
    false
11168
    false
11169
    true
11170
    false
11171
    true
11172
   
11173
   
11174
    boolean
11175
    false
11176
    false
11177
    true
11178
    false
11179
    true
11180
   
11181
   
11182
    boolean
11183
    false
11184
    false
11185
    true
11186
    false
11187
    true
11188
   
11189
   
11190
    boolean
11191
    false
11192
    false
11193
    true
11194
    false
11195
    true
11196
   
11197
   
11198
    boolean
11199
    false
11200
    false
11201
    true
11202
    true
11203
    true
11204
   
11205
   
11206
    int
11207
    32
11208
    false
11209
    true
11210
    false
11211
    true
11212
   
11213
   
11214
    int
11215
    0
11216
    false
11217
    true
11218
    true
11219
    true
11220
   
11221
   
11222
    int
11223
    0
11224
    false
11225
    true
11226
    false
11227
    true
11228
   
11229
   
11230
    int
11231
    0
11232
    false
11233
    true
11234
    true
11235
    true
11236
   
11237
   
11238
    int
11239
    1
11240
    false
11241
    true
11242
    true
11243
    true
11244
   
11245
   
11246
    boolean
11247
    false
11248
    false
11249
    true
11250
    false
11251
    true
11252
   
11253
   
11254
    boolean
11255
    false
11256
    false
11257
    true
11258
    false
11259
    true
11260
   
11261
   
11262
    int
11263
    0
11264
    false
11265
    true
11266
    true
11267
    true
11268
   
11269
   
11270
    com.altera.sopcmodel.avalon.TimingUnits
11271
    Cycles
11272
    false
11273
    true
11274
    true
11275
    true
11276
   
11277
   
11278
    int
11279
    0
11280
    false
11281
    true
11282
    true
11283
    true
11284
   
11285
   
11286
    java.lang.String
11287
    UNKNOWN
11288
    false
11289
    true
11290
    true
11291
    true
11292
   
11293
   
11294
    boolean
11295
    false
11296
    false
11297
    true
11298
    true
11299
    true
11300
   
11301
   avalon
11302
   true
11303
   
11304
    o_avm_be
11305
    Output
11306
    4
11307
    byteenable
11308
   
11309
   
11310
    o_avm_blen
11311
    Output
11312
    6
11313
    burstcount
11314
   
11315
   
11316
    o_avm_r
11317
    Output
11318
    1
11319
    read
11320
   
11321
   
11322
    i_avm_wait
11323
    Input
11324
    1
11325
    waitrequest
11326
   
11327
   
11328
    i_avm_rd
11329
    Input
11330
    32
11331
    readdata
11332
   
11333
   
11334
    o_avm_adr
11335
    Output
11336
    26
11337
    address
11338
   
11339
   
11340
    i_avm_rvalid
11341
    Input
11342
    1
11343
    readdatavalid
11344
   
11345
   
11346
    false
11347
    new_sdram_controller_0
11348
    s1
11349
    new_sdram_controller_0.s1
11350
    33554432
11351
    8388608
11352
   
11353
  
11354
  
11355
   
11358
   
11359
    embeddedsw.configuration.isFlash
11360
    0
11361
   
11362
   
11363
    embeddedsw.configuration.isMemoryDevice
11364
    0
11365
   
11366
   
11367
    embeddedsw.configuration.isNonVolatileStorage
11368
    0
11369
   
11370
   
11371
    embeddedsw.configuration.isPrintableDevice
11372
    0
11373
   
11374
   
11375
    com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment
11376
    DYNAMIC
11377
    false
11378
    true
11379
    false
11380
    true
11381
   
11382
   
11383
    int
11384
    0
11385
    false
11386
    true
11387
    false
11388
    true
11389
   
11390
   
11391
    java.math.BigInteger
11392
    64
11393
    true
11394
    true
11395
    false
11396
    true
11397
   
11398
   
11399
    com.altera.sopcmodel.avalon.EAddrBurstUnits
11400
    WORDS
11401
    false
11402
    true
11403
    true
11404
    true
11405
   
11406
   
11407
    boolean
11408
    false
11409
    false
11410
    true
11411
    false
11412
    true
11413
   
11414
   
11415
    java.lang.String
11416
    clock_sink
11417
    false
11418
    true
11419
    true
11420
    true
11421
   
11422
   
11423
    java.lang.String
11424
    reset_sink
11425
    false
11426
    true
11427
    true
11428
    true
11429
   
11430
   
11431
    int
11432
    8
11433
    false
11434
    true
11435
    true
11436
    true
11437
   
11438
   
11439
    com.altera.entityinterfaces.IConnectionPoint
11440
    
11441
    false
11442
    true
11443
    false
11444
    true
11445
   
11446
   
11447
    boolean
11448
    false
11449
    false
11450
    true
11451
    true
11452
    true
11453
   
11454
   
11455
    com.altera.sopcmodel.avalon.EAddrBurstUnits
11456
    WORDS
11457
    false
11458
    true
11459
    true
11460
    true
11461
   
11462
   
11463
    boolean
11464
    false
11465
    false
11466
    true
11467
    false
11468
    true
11469
   
11470
   
11471
    java.math.BigInteger
11472
    0
11473
    false
11474
    true
11475
    true
11476
    true
11477
   
11478
   
11479
    int
11480
    0
11481
    false
11482
    false
11483
    true
11484
    true
11485
   
11486
   
11487
    boolean
11488
    false
11489
    false
11490
    true
11491
    false
11492
    true
11493
   
11494
   
11495
    boolean
11496
    false
11497
    false
11498
    true
11499
    false
11500
    true
11501
   
11502
   
11503
    boolean
11504
    false
11505
    false
11506
    true
11507
    false
11508
    true
11509
   
11510
   
11511
    boolean
11512
    false
11513
    false
11514
    true
11515
    false
11516
    true
11517
   
11518
   
11519
    boolean
11520
    false
11521
    false
11522
    true
11523
    false
11524
    true
11525
   
11526
   
11527
    boolean
11528
    false
11529
    false
11530
    true
11531
    true
11532
    true
11533
   
11534
   
11535
    int
11536
    0
11537
    false
11538
    false
11539
    true
11540
    true
11541
   
11542
   
11543
    int
11544
    0
11545
    false
11546
    false
11547
    false
11548
    true
11549
   
11550
   
11551
    int
11552
    1
11553
    false
11554
    true
11555
    false
11556
    true
11557
   
11558
   
11559
    boolean
11560
    false
11561
    false
11562
    true
11563
    false
11564
    true
11565
   
11566
   
11567
    int
11568
    0
11569
    false
11570
    true
11571
    true
11572
    true
11573
   
11574
   
11575
    int
11576
    1
11577
    false
11578
    true
11579
    false
11580
    true
11581
   
11582
   
11583
    int
11584
    1
11585
    false
11586
    false
11587
    true
11588
    true
11589
   
11590
   
11591
    boolean
11592
    false
11593
    false
11594
    true
11595
    false
11596
    true
11597
   
11598
   
11599
    boolean
11600
    false
11601
    false
11602
    true
11603
    false
11604
    true
11605
   
11606
   
11607
    int
11608
    0
11609
    false
11610
    false
11611
    true
11612
    true
11613
   
11614
   
11615
    com.altera.sopcmodel.avalon.TimingUnits
11616
    Cycles
11617
    false
11618
    false
11619
    true
11620
    true
11621
   
11622
   
11623
    boolean
11624
    false
11625
    false
11626
    true
11627
    false
11628
    true
11629
   
11630
   
11631
    boolean
11632
    false
11633
    false
11634
    true
11635
    false
11636
    true
11637
   
11638
   
11639
    int
11640
    0
11641
    false
11642
    true
11643
    false
11644
    true
11645
   
11646
   
11647
    int
11648
    0
11649
    false
11650
    true
11651
    false
11652
    true
11653
   
11654
   
11655
    int
11656
    0
11657
    false
11658
    false
11659
    true
11660
    true
11661
   
11662
   
11663
    java.lang.String
11664
    UNKNOWN
11665
    false
11666
    true
11667
    true
11668
    true
11669
   
11670
   
11671
    boolean
11672
    false
11673
    false
11674
    true
11675
    true
11676
    true
11677
   
11678
   avalon
11679
   false
11680
   
11681
    i_avs_adr
11682
    Input
11683
    4
11684
    address
11685
   
11686
   
11687
    i_avs_be
11688
    Input
11689
    4
11690
    byteenable
11691
   
11692
   
11693
    i_avs_r
11694
    Input
11695
    1
11696
    read
11697
   
11698
   
11699
    o_avs_rd
11700
    Output
11701
    32
11702
    readdata
11703
   
11704
   
11705
    i_avs_w
11706
    Input
11707
    1
11708
    write
11709
   
11710
   
11711
    i_avs_wd
11712
    Input
11713
    32
11714
    writedata
11715
   
11716
   
11717
    o_avs_wait
11718
    Output
11719
    1
11720
    waitrequest
11721
   
11722
  
11723
  
11724
   
11727
   
11728
    boolean
11729
    false
11730
    false
11731
    true
11732
    false
11733
    true
11734
   
11735
   
11736
    java.lang.String
11737
    
11738
    false
11739
    true
11740
    false
11741
    true
11742
   
11743
   
11744
    java.lang.String
11745
    UNKNOWN
11746
    false
11747
    true
11748
    true
11749
    true
11750
   
11751
   
11752
    boolean
11753
    false
11754
    false
11755
    true
11756
    true
11757
    true
11758
   
11759
   
11760
    java.lang.Boolean
11761
    true
11762
    true
11763
    true
11764
    false
11765
    true
11766
   
11767
   
11768
    java.lang.Long
11769
    25000000
11770
    true
11771
    true
11772
    false
11773
    true
11774
   
11775
   clock
11776
   false
11777
   
11778
    clk25m
11779
    Input
11780
    1
11781
    clk
11782
   
11783
  
11784
  
11785
   
11788
   
11789
    java.lang.String
11790
    clock_sink_1
11791
    false
11792
    true
11793
    true
11794
    true
11795
   
11796
   
11797
    java.lang.String
11798
    reset_sink
11799
    false
11800
    true
11801
    true
11802
    true
11803
   
11804
   
11805
    java.lang.String
11806
    UNKNOWN
11807
    false
11808
    true
11809
    true
11810
    true
11811
   
11812
   
11813
    boolean
11814
    false
11815
    false
11816
    true
11817
    true
11818
    true
11819
   
11820
   conduit
11821
   false
11822
   
11823
    o_cr
11824
    Output
11825
    4
11826
    export
11827
   
11828
   
11829
    o_cb
11830
    Output
11831
    4
11832
    export
11833
   
11834
   
11835
    o_vsync_x
11836
    Output
11837
    1
11838
    export
11839
   
11840
   
11841
    o_hsync_x
11842
    Output
11843
    1
11844
    export
11845
   
11846
   
11847
    o_cg
11848
    Output
11849
    4
11850
    export
11851
   
11852
  
11853
  
11854
   
11857
   
11858
    com.altera.entityinterfaces.IConnectionPoint
11859
    fm_vga_wrapper_0.avalon_slave
11860
    false
11861
    true
11862
    true
11863
    true
11864
   
11865
   
11866
    java.lang.String
11867
    clock_sink
11868
    false
11869
    true
11870
    false
11871
    true
11872
   
11873
   
11874
    java.lang.String
11875
    reset_sink
11876
    false
11877
    true
11878
    false
11879
    true
11880
   
11881
   
11882
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
11883
    NONE
11884
    false
11885
    true
11886
    false
11887
    true
11888
   
11889
   
11890
    java.lang.String
11891
    UNKNOWN
11892
    false
11893
    true
11894
    true
11895
    true
11896
   
11897
   
11898
    boolean
11899
    false
11900
    false
11901
    true
11902
    true
11903
    true
11904
   
11905
   interrupt
11906
   false
11907
   
11908
    o_int
11909
    Output
11910
    1
11911
    irq
11912
   
11913
  
11914
 
11915
 
11916
   name="clk_0.clk_reset/nios2_qsys_0.reset_n"
11917
   kind="reset"
11918
   version="13.1"
11919
   start="clk_0.clk_reset"
11920
   end="nios2_qsys_0.reset_n">
11921
  
11922
   java.lang.String
11923
   UNKNOWN
11924
   false
11925
   true
11926
   true
11927
   true
11928
  
11929
  
11930
   boolean
11931
   false
11932
   false
11933
   true
11934
   true
11935
   true
11936
  
11937
  clk_0
11938
  clk_reset
11939
  nios2_qsys_0
11940
  reset_n
11941
 
11942
 
11943
   name="clk_0.clk_reset/new_sdram_controller_0.reset"
11944
   kind="reset"
11945
   version="13.1"
11946
   start="clk_0.clk_reset"
11947
   end="new_sdram_controller_0.reset">
11948
  
11949
   java.lang.String
11950
   UNKNOWN
11951
   false
11952
   true
11953
   true
11954
   true
11955
  
11956
  
11957
   boolean
11958
   false
11959
   false
11960
   true
11961
   true
11962
   true
11963
  
11964
  clk_0
11965
  clk_reset
11966
  new_sdram_controller_0
11967
  reset
11968
 
11969
 
11970
   name="nios2_qsys_0.data_master/new_sdram_controller_0.s1"
11971
   kind="avalon"
11972
   version="13.1"
11973
   start="nios2_qsys_0.data_master"
11974
   end="new_sdram_controller_0.s1">
11975
  
11976
   int
11977
   1
11978
   false
11979
   true
11980
   true
11981
   true
11982
  
11983
  
11984
   java.math.BigInteger
11985
   0x02000000
11986
   false
11987
   true
11988
   true
11989
   true
11990
  
11991
  
11992
   boolean
11993
   false
11994
   false
11995
   true
11996
   true
11997
   true
11998
  
11999
  
12000
   java.lang.String
12001
   UNKNOWN
12002
   false
12003
   true
12004
   true
12005
   true
12006
  
12007
  
12008
   boolean
12009
   false
12010
   false
12011
   true
12012
   true
12013
   true
12014
  
12015
  nios2_qsys_0
12016
  data_master
12017
  new_sdram_controller_0
12018
  s1
12019
 
12020
 
12021
   name="clk_0.clk_reset/sysid_qsys_0.reset"
12022
   kind="reset"
12023
   version="13.1"
12024
   start="clk_0.clk_reset"
12025
   end="sysid_qsys_0.reset">
12026
  
12027
   java.lang.String
12028
   UNKNOWN
12029
   false
12030
   true
12031
   true
12032
   true
12033
  
12034
  
12035
   boolean
12036
   false
12037
   false
12038
   true
12039
   true
12040
   true
12041
  
12042
  clk_0
12043
  clk_reset
12044
  sysid_qsys_0
12045
  reset
12046
 
12047
 
12048
   name="nios2_qsys_0.data_master/sysid_qsys_0.control_slave"
12049
   kind="avalon"
12050
   version="13.1"
12051
   start="nios2_qsys_0.data_master"
12052
   end="sysid_qsys_0.control_slave">
12053
  
12054
   int
12055
   1
12056
   false
12057
   true
12058
   true
12059
   true
12060
  
12061
  
12062
   java.math.BigInteger
12063
   0x0000
12064
   false
12065
   true
12066
   true
12067
   true
12068
  
12069
  
12070
   boolean
12071
   false
12072
   false
12073
   true
12074
   true
12075
   true
12076
  
12077
  
12078
   java.lang.String
12079
   UNKNOWN
12080
   false
12081
   true
12082
   true
12083
   true
12084
  
12085
  
12086
   boolean
12087
   false
12088
   false
12089
   true
12090
   true
12091
   true
12092
  
12093
  nios2_qsys_0
12094
  data_master
12095
  sysid_qsys_0
12096
  control_slave
12097
 
12098
 
12099
   name="clk_0.clk_reset/jtag_uart_0.reset"
12100
   kind="reset"
12101
   version="13.1"
12102
   start="clk_0.clk_reset"
12103
   end="jtag_uart_0.reset">
12104
  
12105
   java.lang.String
12106
   UNKNOWN
12107
   false
12108
   true
12109
   true
12110
   true
12111
  
12112
  
12113
   boolean
12114
   false
12115
   false
12116
   true
12117
   true
12118
   true
12119
  
12120
  clk_0
12121
  clk_reset
12122
  jtag_uart_0
12123
  reset
12124
 
12125
 
12126
   name="nios2_qsys_0.data_master/jtag_uart_0.avalon_jtag_slave"
12127
   kind="avalon"
12128
   version="13.1"
12129
   start="nios2_qsys_0.data_master"
12130
   end="jtag_uart_0.avalon_jtag_slave">
12131
  
12132
   int
12133
   1
12134
   false
12135
   true
12136
   true
12137
   true
12138
  
12139
  
12140
   java.math.BigInteger
12141
   0x0008
12142
   false
12143
   true
12144
   true
12145
   true
12146
  
12147
  
12148
   boolean
12149
   false
12150
   false
12151
   true
12152
   true
12153
   true
12154
  
12155
  
12156
   java.lang.String
12157
   UNKNOWN
12158
   false
12159
   true
12160
   true
12161
   true
12162
  
12163
  
12164
   boolean
12165
   false
12166
   false
12167
   true
12168
   true
12169
   true
12170
  
12171
  nios2_qsys_0
12172
  data_master
12173
  jtag_uart_0
12174
  avalon_jtag_slave
12175
 
12176
 
12177
   name="nios2_qsys_0.jtag_debug_module_reset/nios2_qsys_0.reset_n"
12178
   kind="reset"
12179
   version="13.1"
12180
   start="nios2_qsys_0.jtag_debug_module_reset"
12181
   end="nios2_qsys_0.reset_n">
12182
  
12183
   java.lang.String
12184
   UNKNOWN
12185
   false
12186
   true
12187
   true
12188
   true
12189
  
12190
  
12191
   boolean
12192
   false
12193
   false
12194
   true
12195
   true
12196
   true
12197
  
12198
  nios2_qsys_0
12199
  jtag_debug_module_reset
12200
  nios2_qsys_0
12201
  reset_n
12202
 
12203
 
12204
   name="nios2_qsys_0.instruction_master/nios2_qsys_0.jtag_debug_module"
12205
   kind="avalon"
12206
   version="13.1"
12207
   start="nios2_qsys_0.instruction_master"
12208
   end="nios2_qsys_0.jtag_debug_module">
12209
  
12210
   int
12211
   1
12212
   false
12213
   true
12214
   true
12215
   true
12216
  
12217
  
12218
   java.math.BigInteger
12219
   0x0800
12220
   false
12221
   true
12222
   true
12223
   true
12224
  
12225
  
12226
   boolean
12227
   false
12228
   false
12229
   true
12230
   true
12231
   true
12232
  
12233
  
12234
   java.lang.String
12235
   UNKNOWN
12236
   false
12237
   true
12238
   true
12239
   true
12240
  
12241
  
12242
   boolean
12243
   false
12244
   false
12245
   true
12246
   true
12247
   true
12248
  
12249
  nios2_qsys_0
12250
  instruction_master
12251
  nios2_qsys_0
12252
  jtag_debug_module
12253
 
12254
 
12255
   name="nios2_qsys_0.data_master/nios2_qsys_0.jtag_debug_module"
12256
   kind="avalon"
12257
   version="13.1"
12258
   start="nios2_qsys_0.data_master"
12259
   end="nios2_qsys_0.jtag_debug_module">
12260
  
12261
   int
12262
   1
12263
   false
12264
   true
12265
   true
12266
   true
12267
  
12268
  
12269
   java.math.BigInteger
12270
   0x0800
12271
   false
12272
   true
12273
   true
12274
   true
12275
  
12276
  
12277
   boolean
12278
   false
12279
   false
12280
   true
12281
   true
12282
   true
12283
  
12284
  
12285
   java.lang.String
12286
   UNKNOWN
12287
   false
12288
   true
12289
   true
12290
   true
12291
  
12292
  
12293
   boolean
12294
   false
12295
   false
12296
   true
12297
   true
12298
   true
12299
  
12300
  nios2_qsys_0
12301
  data_master
12302
  nios2_qsys_0
12303
  jtag_debug_module
12304
 
12305
 
12306
   name="nios2_qsys_0.d_irq/jtag_uart_0.irq"
12307
   kind="interrupt"
12308
   version="13.1"
12309
   start="nios2_qsys_0.d_irq"
12310
   end="jtag_uart_0.irq">
12311
  
12312
   int
12313
   0
12314
   false
12315
   true
12316
   true
12317
   true
12318
  
12319
  
12320
   java.lang.String
12321
   UNKNOWN
12322
   false
12323
   true
12324
   true
12325
   true
12326
  
12327
  
12328
   boolean
12329
   false
12330
   false
12331
   true
12332
   true
12333
   true
12334
  
12335
  nios2_qsys_0
12336
  d_irq
12337
  jtag_uart_0
12338
  irq
12339
 
12340
 
12341
   name="clk_0.clk_reset/pio_0.reset"
12342
   kind="reset"
12343
   version="13.1"
12344
   start="clk_0.clk_reset"
12345
   end="pio_0.reset">
12346
  
12347
   java.lang.String
12348
   UNKNOWN
12349
   false
12350
   true
12351
   true
12352
   true
12353
  
12354
  
12355
   boolean
12356
   false
12357
   false
12358
   true
12359
   true
12360
   true
12361
  
12362
  clk_0
12363
  clk_reset
12364
  pio_0
12365
  reset
12366
 
12367
 
12368
   name="nios2_qsys_0.data_master/pio_0.s1"
12369
   kind="avalon"
12370
   version="13.1"
12371
   start="nios2_qsys_0.data_master"
12372
   end="pio_0.s1">
12373
  
12374
   int
12375
   1
12376
   false
12377
   true
12378
   true
12379
   true
12380
  
12381
  
12382
   java.math.BigInteger
12383
   0x0010
12384
   false
12385
   true
12386
   true
12387
   true
12388
  
12389
  
12390
   boolean
12391
   false
12392
   false
12393
   true
12394
   true
12395
   true
12396
  
12397
  
12398
   java.lang.String
12399
   UNKNOWN
12400
   false
12401
   true
12402
   true
12403
   true
12404
  
12405
  
12406
   boolean
12407
   false
12408
   false
12409
   true
12410
   true
12411
   true
12412
  
12413
  nios2_qsys_0
12414
  data_master
12415
  pio_0
12416
  s1
12417
 
12418
 
12419
   name="nios2_qsys_0.d_irq/timer_0.irq"
12420
   kind="interrupt"
12421
   version="13.1"
12422
   start="nios2_qsys_0.d_irq"
12423
   end="timer_0.irq">
12424
  
12425
   int
12426
   1
12427
   false
12428
   true
12429
   true
12430
   true
12431
  
12432
  
12433
   java.lang.String
12434
   UNKNOWN
12435
   false
12436
   true
12437
   true
12438
   true
12439
  
12440
  
12441
   boolean
12442
   false
12443
   false
12444
   true
12445
   true
12446
   true
12447
  
12448
  nios2_qsys_0
12449
  d_irq
12450
  timer_0
12451
  irq
12452
 
12453
 
12454
   name="clk_0.clk_reset/timer_0.reset"
12455
   kind="reset"
12456
   version="13.1"
12457
   start="clk_0.clk_reset"
12458
   end="timer_0.reset">
12459
  
12460
   java.lang.String
12461
   UNKNOWN
12462
   false
12463
   true
12464
   true
12465
   true
12466
  
12467
  
12468
   boolean
12469
   false
12470
   false
12471
   true
12472
   true
12473
   true
12474
  
12475
  clk_0
12476
  clk_reset
12477
  timer_0
12478
  reset
12479
 
12480
 
12481
   name="nios2_qsys_0.data_master/timer_0.s1"
12482
   kind="avalon"
12483
   version="13.1"
12484
   start="nios2_qsys_0.data_master"
12485
   end="timer_0.s1">
12486
  
12487
   int
12488
   1
12489
   false
12490
   true
12491
   true
12492
   true
12493
  
12494
  
12495
   java.math.BigInteger
12496
   0x0020
12497
   false
12498
   true
12499
   true
12500
   true
12501
  
12502
  
12503
   boolean
12504
   false
12505
   false
12506
   true
12507
   true
12508
   true
12509
  
12510
  
12511
   java.lang.String
12512
   UNKNOWN
12513
   false
12514
   true
12515
   true
12516
   true
12517
  
12518
  
12519
   boolean
12520
   false
12521
   false
12522
   true
12523
   true
12524
   true
12525
  
12526
  nios2_qsys_0
12527
  data_master
12528
  timer_0
12529
  s1
12530
 
12531
 
12532
   name="nios2_qsys_0.d_irq/pio_0.irq"
12533
   kind="interrupt"
12534
   version="13.1"
12535
   start="nios2_qsys_0.d_irq"
12536
   end="pio_0.irq">
12537
  
12538
   int
12539
   2
12540
   false
12541
   true
12542
   true
12543
   true
12544
  
12545
  
12546
   java.lang.String
12547
   UNKNOWN
12548
   false
12549
   true
12550
   true
12551
   true
12552
  
12553
  
12554
   boolean
12555
   false
12556
   false
12557
   true
12558
   true
12559
   true
12560
  
12561
  nios2_qsys_0
12562
  d_irq
12563
  pio_0
12564
  irq
12565
 
12566
 
12567
   name="clk_0.clk_reset/epcs_flash_controller_0.reset"
12568
   kind="reset"
12569
   version="13.1"
12570
   start="clk_0.clk_reset"
12571
   end="epcs_flash_controller_0.reset">
12572
  
12573
   java.lang.String
12574
   UNKNOWN
12575
   false
12576
   true
12577
   true
12578
   true
12579
  
12580
  
12581
   boolean
12582
   false
12583
   false
12584
   true
12585
   true
12586
   true
12587
  
12588
  clk_0
12589
  clk_reset
12590
  epcs_flash_controller_0
12591
  reset
12592
 
12593
 
12594
   name="nios2_qsys_0.data_master/epcs_flash_controller_0.epcs_control_port"
12595
   kind="avalon"
12596
   version="13.1"
12597
   start="nios2_qsys_0.data_master"
12598
   end="epcs_flash_controller_0.epcs_control_port">
12599
  
12600
   int
12601
   1
12602
   false
12603
   true
12604
   true
12605
   true
12606
  
12607
  
12608
   java.math.BigInteger
12609
   0x1800
12610
   false
12611
   true
12612
   true
12613
   true
12614
  
12615
  
12616
   boolean
12617
   false
12618
   false
12619
   true
12620
   true
12621
   true
12622
  
12623
  
12624
   java.lang.String
12625
   UNKNOWN
12626
   false
12627
   true
12628
   true
12629
   true
12630
  
12631
  
12632
   boolean
12633
   false
12634
   false
12635
   true
12636
   true
12637
   true
12638
  
12639
  nios2_qsys_0
12640
  data_master
12641
  epcs_flash_controller_0
12642
  epcs_control_port
12643
 
12644
 
12645
   name="nios2_qsys_0.instruction_master/epcs_flash_controller_0.epcs_control_port"
12646
   kind="avalon"
12647
   version="13.1"
12648
   start="nios2_qsys_0.instruction_master"
12649
   end="epcs_flash_controller_0.epcs_control_port">
12650
  
12651
   int
12652
   1
12653
   false
12654
   true
12655
   true
12656
   true
12657
  
12658
  
12659
   java.math.BigInteger
12660
   0x1800
12661
   false
12662
   true
12663
   true
12664
   true
12665
  
12666
  
12667
   boolean
12668
   false
12669
   false
12670
   true
12671
   true
12672
   true
12673
  
12674
  
12675
   java.lang.String
12676
   UNKNOWN
12677
   false
12678
   true
12679
   true
12680
   true
12681
  
12682
  
12683
   boolean
12684
   false
12685
   false
12686
   true
12687
   true
12688
   true
12689
  
12690
  nios2_qsys_0
12691
  instruction_master
12692
  epcs_flash_controller_0
12693
  epcs_control_port
12694
 
12695
 
12696
   name="nios2_qsys_0.d_irq/epcs_flash_controller_0.irq"
12697
   kind="interrupt"
12698
   version="13.1"
12699
   start="nios2_qsys_0.d_irq"
12700
   end="epcs_flash_controller_0.irq">
12701
  
12702
   int
12703
   3
12704
   false
12705
   true
12706
   true
12707
   true
12708
  
12709
  
12710
   java.lang.String
12711
   UNKNOWN
12712
   false
12713
   true
12714
   true
12715
   true
12716
  
12717
  
12718
   boolean
12719
   false
12720
   false
12721
   true
12722
   true
12723
   true
12724
  
12725
  nios2_qsys_0
12726
  d_irq
12727
  epcs_flash_controller_0
12728
  irq
12729
 
12730
 
12731
   name="nios2_qsys_0.jtag_debug_module_reset/epcs_flash_controller_0.reset"
12732
   kind="reset"
12733
   version="13.1"
12734
   start="nios2_qsys_0.jtag_debug_module_reset"
12735
   end="epcs_flash_controller_0.reset">
12736
  
12737
   java.lang.String
12738
   UNKNOWN
12739
   false
12740
   true
12741
   true
12742
   true
12743
  
12744
  
12745
   boolean
12746
   false
12747
   false
12748
   true
12749
   true
12750
   true
12751
  
12752
  nios2_qsys_0
12753
  jtag_debug_module_reset
12754
  epcs_flash_controller_0
12755
  reset
12756
 
12757
 
12758
   name="nios2_qsys_0.jtag_debug_module_reset/new_sdram_controller_0.reset"
12759
   kind="reset"
12760
   version="13.1"
12761
   start="nios2_qsys_0.jtag_debug_module_reset"
12762
   end="new_sdram_controller_0.reset">
12763
  
12764
   java.lang.String
12765
   UNKNOWN
12766
   false
12767
   true
12768
   true
12769
   true
12770
  
12771
  
12772
   boolean
12773
   false
12774
   false
12775
   true
12776
   true
12777
   true
12778
  
12779
  nios2_qsys_0
12780
  jtag_debug_module_reset
12781
  new_sdram_controller_0
12782
  reset
12783
 
12784
 
12785
   name="nios2_qsys_0.jtag_debug_module_reset/sysid_qsys_0.reset"
12786
   kind="reset"
12787
   version="13.1"
12788
   start="nios2_qsys_0.jtag_debug_module_reset"
12789
   end="sysid_qsys_0.reset">
12790
  
12791
   java.lang.String
12792
   UNKNOWN
12793
   false
12794
   true
12795
   true
12796
   true
12797
  
12798
  
12799
   boolean
12800
   false
12801
   false
12802
   true
12803
   true
12804
   true
12805
  
12806
  nios2_qsys_0
12807
  jtag_debug_module_reset
12808
  sysid_qsys_0
12809
  reset
12810
 
12811
 
12812
   name="nios2_qsys_0.jtag_debug_module_reset/jtag_uart_0.reset"
12813
   kind="reset"
12814
   version="13.1"
12815
   start="nios2_qsys_0.jtag_debug_module_reset"
12816
   end="jtag_uart_0.reset">
12817
  
12818
   java.lang.String
12819
   UNKNOWN
12820
   false
12821
   true
12822
   true
12823
   true
12824
  
12825
  
12826
   boolean
12827
   false
12828
   false
12829
   true
12830
   true
12831
   true
12832
  
12833
  nios2_qsys_0
12834
  jtag_debug_module_reset
12835
  jtag_uart_0
12836
  reset
12837
 
12838
 
12839
   name="nios2_qsys_0.jtag_debug_module_reset/pio_0.reset"
12840
   kind="reset"
12841
   version="13.1"
12842
   start="nios2_qsys_0.jtag_debug_module_reset"
12843
   end="pio_0.reset">
12844
  
12845
   java.lang.String
12846
   UNKNOWN
12847
   false
12848
   true
12849
   true
12850
   true
12851
  
12852
  
12853
   boolean
12854
   false
12855
   false
12856
   true
12857
   true
12858
   true
12859
  
12860
  nios2_qsys_0
12861
  jtag_debug_module_reset
12862
  pio_0
12863
  reset
12864
 
12865
 
12866
   name="nios2_qsys_0.jtag_debug_module_reset/timer_0.reset"
12867
   kind="reset"
12868
   version="13.1"
12869
   start="nios2_qsys_0.jtag_debug_module_reset"
12870
   end="timer_0.reset">
12871
  
12872
   java.lang.String
12873
   UNKNOWN
12874
   false
12875
   true
12876
   true
12877
   true
12878
  
12879
  
12880
   boolean
12881
   false
12882
   false
12883
   true
12884
   true
12885
   true
12886
  
12887
  nios2_qsys_0
12888
  jtag_debug_module_reset
12889
  timer_0
12890
  reset
12891
 
12892
 
12893
   name="clk_0.clk/altpll_0.inclk_interface"
12894
   kind="clock"
12895
   version="13.1"
12896
   start="clk_0.clk"
12897
   end="altpll_0.inclk_interface">
12898
  
12899
   java.lang.String
12900
   UNKNOWN
12901
   false
12902
   true
12903
   true
12904
   true
12905
  
12906
  
12907
   boolean
12908
   false
12909
   false
12910
   true
12911
   true
12912
   true
12913
  
12914
  clk_0
12915
  clk
12916
  altpll_0
12917
  inclk_interface
12918
 
12919
 
12920
   name="clk_0.clk_reset/altpll_0.inclk_interface_reset"
12921
   kind="reset"
12922
   version="13.1"
12923
   start="clk_0.clk_reset"
12924
   end="altpll_0.inclk_interface_reset">
12925
  
12926
   java.lang.String
12927
   UNKNOWN
12928
   false
12929
   true
12930
   true
12931
   true
12932
  
12933
  
12934
   boolean
12935
   false
12936
   false
12937
   true
12938
   true
12939
   true
12940
  
12941
  clk_0
12942
  clk_reset
12943
  altpll_0
12944
  inclk_interface_reset
12945
 
12946
 
12947
   name="altpll_0.c0/new_sdram_controller_0.clk"
12948
   kind="clock"
12949
   version="13.1"
12950
   start="altpll_0.c0"
12951
   end="new_sdram_controller_0.clk">
12952
  
12953
   java.lang.String
12954
   UNKNOWN
12955
   false
12956
   true
12957
   true
12958
   true
12959
  
12960
  
12961
   boolean
12962
   false
12963
   false
12964
   true
12965
   true
12966
   true
12967
  
12968
  altpll_0
12969
  c0
12970
  new_sdram_controller_0
12971
  clk
12972
 
12973
 
12974
   name="nios2_qsys_0.data_master/altpll_0.pll_slave"
12975
   kind="avalon"
12976
   version="13.1"
12977
   start="nios2_qsys_0.data_master"
12978
   end="altpll_0.pll_slave">
12979
  
12980
   int
12981
   1
12982
   false
12983
   true
12984
   true
12985
   true
12986
  
12987
  
12988
   java.math.BigInteger
12989
   0x0080
12990
   false
12991
   true
12992
   true
12993
   true
12994
  
12995
  
12996
   boolean
12997
   false
12998
   false
12999
   true
13000
   true
13001
   true
13002
  
13003
  
13004
   java.lang.String
13005
   UNKNOWN
13006
   false
13007
   true
13008
   true
13009
   true
13010
  
13011
  
13012
   boolean
13013
   false
13014
   false
13015
   true
13016
   true
13017
   true
13018
  
13019
  nios2_qsys_0
13020
  data_master
13021
  altpll_0
13022
  pll_slave
13023
 
13024
 
13025
   name="altpll_0.c0/sysid_qsys_0.clk"
13026
   kind="clock"
13027
   version="13.1"
13028
   start="altpll_0.c0"
13029
   end="sysid_qsys_0.clk">
13030
  
13031
   java.lang.String
13032
   UNKNOWN
13033
   false
13034
   true
13035
   true
13036
   true
13037
  
13038
  
13039
   boolean
13040
   false
13041
   false
13042
   true
13043
   true
13044
   true
13045
  
13046
  altpll_0
13047
  c0
13048
  sysid_qsys_0
13049
  clk
13050
 
13051
 
13052
   name="altpll_0.c0/jtag_uart_0.clk"
13053
   kind="clock"
13054
   version="13.1"
13055
   start="altpll_0.c0"
13056
   end="jtag_uart_0.clk">
13057
  
13058
   java.lang.String
13059
   UNKNOWN
13060
   false
13061
   true
13062
   true
13063
   true
13064
  
13065
  
13066
   boolean
13067
   false
13068
   false
13069
   true
13070
   true
13071
   true
13072
  
13073
  altpll_0
13074
  c0
13075
  jtag_uart_0
13076
  clk
13077
 
13078
 
13079
   name="altpll_0.c0/pio_0.clk"
13080
   kind="clock"
13081
   version="13.1"
13082
   start="altpll_0.c0"
13083
   end="pio_0.clk">
13084
  
13085
   java.lang.String
13086
   UNKNOWN
13087
   false
13088
   true
13089
   true
13090
   true
13091
  
13092
  
13093
   boolean
13094
   false
13095
   false
13096
   true
13097
   true
13098
   true
13099
  
13100
  altpll_0
13101
  c0
13102
  pio_0
13103
  clk
13104
 
13105
 
13106
   name="altpll_0.c0/timer_0.clk"
13107
   kind="clock"
13108
   version="13.1"
13109
   start="altpll_0.c0"
13110
   end="timer_0.clk">
13111
  
13112
   java.lang.String
13113
   UNKNOWN
13114
   false
13115
   true
13116
   true
13117
   true
13118
  
13119
  
13120
   boolean
13121
   false
13122
   false
13123
   true
13124
   true
13125
   true
13126
  
13127
  altpll_0
13128
  c0
13129
  timer_0
13130
  clk
13131
 
13132
 
13133
   name="altpll_0.c0/epcs_flash_controller_0.clk"
13134
   kind="clock"
13135
   version="13.1"
13136
   start="altpll_0.c0"
13137
   end="epcs_flash_controller_0.clk">
13138
  
13139
   java.lang.String
13140
   UNKNOWN
13141
   false
13142
   true
13143
   true
13144
   true
13145
  
13146
  
13147
   boolean
13148
   false
13149
   false
13150
   true
13151
   true
13152
   true
13153
  
13154
  altpll_0
13155
  c0
13156
  epcs_flash_controller_0
13157
  clk
13158
 
13159
 
13160
   name="nios2_qsys_0.jtag_debug_module_reset/altpll_0.inclk_interface_reset"
13161
   kind="reset"
13162
   version="13.1"
13163
   start="nios2_qsys_0.jtag_debug_module_reset"
13164
   end="altpll_0.inclk_interface_reset">
13165
  
13166
   java.lang.String
13167
   UNKNOWN
13168
   false
13169
   true
13170
   true
13171
   true
13172
  
13173
  
13174
   boolean
13175
   false
13176
   false
13177
   true
13178
   true
13179
   true
13180
  
13181
  nios2_qsys_0
13182
  jtag_debug_module_reset
13183
  altpll_0
13184
  inclk_interface_reset
13185
 
13186
 
13187
   name="altpll_0.c0/nios2_qsys_0.clk"
13188
   kind="clock"
13189
   version="13.1"
13190
   start="altpll_0.c0"
13191
   end="nios2_qsys_0.clk">
13192
  
13193
   java.lang.String
13194
   UNKNOWN
13195
   false
13196
   true
13197
   true
13198
   true
13199
  
13200
  
13201
   boolean
13202
   false
13203
   false
13204
   true
13205
   true
13206
   true
13207
  
13208
  altpll_0
13209
  c0
13210
  nios2_qsys_0
13211
  clk
13212
 
13213
 
13214
   name="nios2_qsys_0.instruction_master/new_sdram_controller_0.s1"
13215
   kind="avalon"
13216
   version="13.1"
13217
   start="nios2_qsys_0.instruction_master"
13218
   end="new_sdram_controller_0.s1">
13219
  
13220
   int
13221
   1
13222
   false
13223
   true
13224
   true
13225
   true
13226
  
13227
  
13228
   java.math.BigInteger
13229
   0x02000000
13230
   false
13231
   true
13232
   true
13233
   true
13234
  
13235
  
13236
   boolean
13237
   false
13238
   false
13239
   true
13240
   true
13241
   true
13242
  
13243
  
13244
   java.lang.String
13245
   UNKNOWN
13246
   false
13247
   true
13248
   true
13249
   true
13250
  
13251
  
13252
   boolean
13253
   false
13254
   false
13255
   true
13256
   true
13257
   true
13258
  
13259
  nios2_qsys_0
13260
  instruction_master
13261
  new_sdram_controller_0
13262
  s1
13263
 
13264
 
13265
   name="nios2_qsys_0.jtag_debug_module_reset/fm_3d_wrapper_0.reset_sink"
13266
   kind="reset"
13267
   version="13.1"
13268
   start="nios2_qsys_0.jtag_debug_module_reset"
13269
   end="fm_3d_wrapper_0.reset_sink">
13270
  
13271
   java.lang.String
13272
   UNKNOWN
13273
   false
13274
   true
13275
   true
13276
   true
13277
  
13278
  
13279
   boolean
13280
   false
13281
   false
13282
   true
13283
   true
13284
   true
13285
  
13286
  nios2_qsys_0
13287
  jtag_debug_module_reset
13288
  fm_3d_wrapper_0
13289
  reset_sink
13290
 
13291
 
13292
   name="clk_0.clk_reset/fm_3d_wrapper_0.reset_sink"
13293
   kind="reset"
13294
   version="13.1"
13295
   start="clk_0.clk_reset"
13296
   end="fm_3d_wrapper_0.reset_sink">
13297
  
13298
   java.lang.String
13299
   UNKNOWN
13300
   false
13301
   true
13302
   true
13303
   true
13304
  
13305
  
13306
   boolean
13307
   false
13308
   false
13309
   true
13310
   true
13311
   true
13312
  
13313
  clk_0
13314
  clk_reset
13315
  fm_3d_wrapper_0
13316
  reset_sink
13317
 
13318
 
13319
   name="nios2_qsys_0.data_master/fm_3d_wrapper_0.avalon_slave"
13320
   kind="avalon"
13321
   version="13.1"
13322
   start="nios2_qsys_0.data_master"
13323
   end="fm_3d_wrapper_0.avalon_slave">
13324
  
13325
   int
13326
   1
13327
   false
13328
   true
13329
   true
13330
   true
13331
  
13332
  
13333
   java.math.BigInteger
13334
   0x2000
13335
   false
13336
   true
13337
   true
13338
   true
13339
  
13340
  
13341
   boolean
13342
   false
13343
   false
13344
   true
13345
   true
13346
   true
13347
  
13348
  
13349
   java.lang.String
13350
   UNKNOWN
13351
   false
13352
   true
13353
   true
13354
   true
13355
  
13356
  
13357
   boolean
13358
   false
13359
   false
13360
   true
13361
   true
13362
   true
13363
  
13364
  nios2_qsys_0
13365
  data_master
13366
  fm_3d_wrapper_0
13367
  avalon_slave
13368
 
13369
 
13370
   name="fm_3d_wrapper_0.avalon_master/new_sdram_controller_0.s1"
13371
   kind="avalon"
13372
   version="13.1"
13373
   start="fm_3d_wrapper_0.avalon_master"
13374
   end="new_sdram_controller_0.s1">
13375
  
13376
   int
13377
   1
13378
   false
13379
   true
13380
   true
13381
   true
13382
  
13383
  
13384
   java.math.BigInteger
13385
   0x02000000
13386
   false
13387
   true
13388
   true
13389
   true
13390
  
13391
  
13392
   boolean
13393
   false
13394
   false
13395
   true
13396
   true
13397
   true
13398
  
13399
  
13400
   java.lang.String
13401
   UNKNOWN
13402
   false
13403
   true
13404
   true
13405
   true
13406
  
13407
  
13408
   boolean
13409
   false
13410
   false
13411
   true
13412
   true
13413
   true
13414
  
13415
  fm_3d_wrapper_0
13416
  avalon_master
13417
  new_sdram_controller_0
13418
  s1
13419
 
13420
 
13421
   name="nios2_qsys_0.jtag_debug_module_reset/fm_vga_wrapper_0.reset_sink"
13422
   kind="reset"
13423
   version="13.1"
13424
   start="nios2_qsys_0.jtag_debug_module_reset"
13425
   end="fm_vga_wrapper_0.reset_sink">
13426
  
13427
   java.lang.String
13428
   UNKNOWN
13429
   false
13430
   true
13431
   true
13432
   true
13433
  
13434
  
13435
   boolean
13436
   false
13437
   false
13438
   true
13439
   true
13440
   true
13441
  
13442
  nios2_qsys_0
13443
  jtag_debug_module_reset
13444
  fm_vga_wrapper_0
13445
  reset_sink
13446
 
13447
 
13448
   name="altpll_0.c3/fm_vga_wrapper_0.clock_sink_1"
13449
   kind="clock"
13450
   version="13.1"
13451
   start="altpll_0.c3"
13452
   end="fm_vga_wrapper_0.clock_sink_1">
13453
  
13454
   java.lang.String
13455
   UNKNOWN
13456
   false
13457
   true
13458
   true
13459
   true
13460
  
13461
  
13462
   boolean
13463
   false
13464
   false
13465
   true
13466
   true
13467
   true
13468
  
13469
  altpll_0
13470
  c3
13471
  fm_vga_wrapper_0
13472
  clock_sink_1
13473
 
13474
 
13475
   name="nios2_qsys_0.data_master/fm_vga_wrapper_0.avalon_slave"
13476
   kind="avalon"
13477
   version="13.1"
13478
   start="nios2_qsys_0.data_master"
13479
   end="fm_vga_wrapper_0.avalon_slave">
13480
  
13481
   int
13482
   1
13483
   false
13484
   true
13485
   true
13486
   true
13487
  
13488
  
13489
   java.math.BigInteger
13490
   0x1400
13491
   false
13492
   true
13493
   true
13494
   true
13495
  
13496
  
13497
   boolean
13498
   false
13499
   false
13500
   true
13501
   true
13502
   true
13503
  
13504
  
13505
   java.lang.String
13506
   UNKNOWN
13507
   false
13508
   true
13509
   true
13510
   true
13511
  
13512
  
13513
   boolean
13514
   false
13515
   false
13516
   true
13517
   true
13518
   true
13519
  
13520
  nios2_qsys_0
13521
  data_master
13522
  fm_vga_wrapper_0
13523
  avalon_slave
13524
 
13525
 
13526
   name="fm_vga_wrapper_0.avalon_master/new_sdram_controller_0.s1"
13527
   kind="avalon"
13528
   version="13.1"
13529
   start="fm_vga_wrapper_0.avalon_master"
13530
   end="new_sdram_controller_0.s1">
13531
  
13532
   int
13533
   1
13534
   false
13535
   true
13536
   true
13537
   true
13538
  
13539
  
13540
   java.math.BigInteger
13541
   0x02000000
13542
   false
13543
   true
13544
   true
13545
   true
13546
  
13547
  
13548
   boolean
13549
   false
13550
   false
13551
   true
13552
   true
13553
   true
13554
  
13555
  
13556
   java.lang.String
13557
   UNKNOWN
13558
   false
13559
   true
13560
   true
13561
   true
13562
  
13563
  
13564
   boolean
13565
   false
13566
   false
13567
   true
13568
   true
13569
   true
13570
  
13571
  fm_vga_wrapper_0
13572
  avalon_master
13573
  new_sdram_controller_0
13574
  s1
13575
 
13576
 
13577
   name="nios2_qsys_0.d_irq/fm_3d_wrapper_0.interrupt_sender"
13578
   kind="interrupt"
13579
   version="13.1"
13580
   start="nios2_qsys_0.d_irq"
13581
   end="fm_3d_wrapper_0.interrupt_sender">
13582
  
13583
   int
13584
   4
13585
   false
13586
   true
13587
   true
13588
   true
13589
  
13590
  
13591
   java.lang.String
13592
   UNKNOWN
13593
   false
13594
   true
13595
   true
13596
   true
13597
  
13598
  
13599
   boolean
13600
   false
13601
   false
13602
   true
13603
   true
13604
   true
13605
  
13606
  nios2_qsys_0
13607
  d_irq
13608
  fm_3d_wrapper_0
13609
  interrupt_sender
13610
 
13611
 
13612
   name="nios2_qsys_0.d_irq/fm_vga_wrapper_0.interrupt_sender"
13613
   kind="interrupt"
13614
   version="13.1"
13615
   start="nios2_qsys_0.d_irq"
13616
   end="fm_vga_wrapper_0.interrupt_sender">
13617
  
13618
   int
13619
   5
13620
   false
13621
   true
13622
   true
13623
   true
13624
  
13625
  
13626
   java.lang.String
13627
   UNKNOWN
13628
   false
13629
   true
13630
   true
13631
   true
13632
  
13633
  
13634
   boolean
13635
   false
13636
   false
13637
   true
13638
   true
13639
   true
13640
  
13641
  nios2_qsys_0
13642
  d_irq
13643
  fm_vga_wrapper_0
13644
  interrupt_sender
13645
 
13646
 
13647
   name="altpll_0.c0/fm_vga_wrapper_0.clock_sink"
13648
   kind="clock"
13649
   version="13.1"
13650
   start="altpll_0.c0"
13651
   end="fm_vga_wrapper_0.clock_sink">
13652
  
13653
   java.lang.String
13654
   UNKNOWN
13655
   false
13656
   true
13657
   true
13658
   true
13659
  
13660
  
13661
   boolean
13662
   false
13663
   false
13664
   true
13665
   true
13666
   true
13667
  
13668
  altpll_0
13669
  c0
13670
  fm_vga_wrapper_0
13671
  clock_sink
13672
 
13673
 
13674
   name="altpll_0.c0/fm_3d_wrapper_0.clock_sink"
13675
   kind="clock"
13676
   version="13.1"
13677
   start="altpll_0.c0"
13678
   end="fm_3d_wrapper_0.clock_sink">
13679
  
13680
   java.lang.String
13681
   UNKNOWN
13682
   false
13683
   true
13684
   true
13685
   true
13686
  
13687
  
13688
   boolean
13689
   false
13690
   false
13691
   true
13692
   true
13693
   true
13694
  
13695
  altpll_0
13696
  c0
13697
  fm_3d_wrapper_0
13698
  clock_sink
13699
 
13700
 
13701
  1
13702
  nios_custom_instruction_master
13703
  com.altera.entityinterfaces.IElementClass
13704
  com.altera.entityinterfaces.IMutableConnectionPoint
13705
  Custom Instruction Master
13706
  13.1
13707
 
13708
 
13709
  1
13710
  altera_avalon_sysid_qsys
13711
  com.altera.entityinterfaces.IElementClass
13712
  com.altera.entityinterfaces.IModule
13713
  System ID Peripheral
13714
  13.1
13715
 
13716
 
13717
  1
13718
  clock_sink
13719
  com.altera.entityinterfaces.IElementClass
13720
  com.altera.entityinterfaces.IMutableConnectionPoint
13721
  Clock Input
13722
  13.1
13723
 
13724
 
13725
  1
13726
  altera_avalon_timer
13727
  com.altera.entityinterfaces.IElementClass
13728
  com.altera.entityinterfaces.IModule
13729
  Interval Timer
13730
  13.1
13731
 
13732
 
13733
  1
13734
  interrupt_receiver
13735
  com.altera.entityinterfaces.IElementClass
13736
  com.altera.entityinterfaces.IMutableConnectionPoint
13737
  Interrupt Receiver
13738
  13.1
13739
 
13740
 
13741
  1
13742
  altera_avalon_new_sdram_controller
13743
  com.altera.entityinterfaces.IElementClass
13744
  com.altera.entityinterfaces.IModule
13745
  SDRAM Controller
13746
  13.1
13747
 
13748
 
13749
  1
13750
  reset_source
13751
  com.altera.entityinterfaces.IElementClass
13752
  com.altera.entityinterfaces.IMutableConnectionPoint
13753
  Reset Output
13754
  13.1
13755
 
13756
 
13757
  10
13758
  reset_sink
13759
  com.altera.entityinterfaces.IElementClass
13760
  com.altera.entityinterfaces.IMutableConnectionPoint
13761
  Reset Input
13762
  13.1
13763
 
13764
 
13765
  1
13766
  reset_source
13767
  com.altera.entityinterfaces.IElementClass
13768
  com.altera.entityinterfaces.IMutableConnectionPoint
13769
  Reset Output
13770
  13.1
13771
 
13772
 
13773
  11
13774
  clock
13775
  com.altera.entityinterfaces.IElementClass
13776
  com.altera.entityinterfaces.IConnection
13777
  Clock Connection
13778
  13.1
13779
 
13780
 
13781
  1
13782
  altera_avalon_jtag_uart
13783
  com.altera.entityinterfaces.IElementClass
13784
  com.altera.entityinterfaces.IModule
13785
  JTAG UART
13786
  13.1
13787
 
13788
 
13789
  19
13790
  reset
13791
  com.altera.entityinterfaces.IElementClass
13792
  com.altera.entityinterfaces.IConnection
13793
  Reset Connection
13794
  13.1
13795
 
13796
 
13797
  1
13798
  reset_sink
13799
  com.altera.entityinterfaces.IElementClass
13800
  com.altera.entityinterfaces.IMutableConnectionPoint
13801
  Reset Input
13802
  13.1
13803
 
13804
 
13805
  4
13806
  avalon_master
13807
  com.altera.entityinterfaces.IElementClass
13808
  com.altera.entityinterfaces.IMutableConnectionPoint
13809
  Avalon Memory Mapped Master
13810
  13.1
13811
 
13812
 
13813
  7
13814
  conduit_end
13815
  com.altera.entityinterfaces.IElementClass
13816
  com.altera.entityinterfaces.IMutableConnectionPoint
13817
  Conduit
13818
  13.1
13819
 
13820
 
13821
  6
13822
  interrupt_sender
13823
  com.altera.entityinterfaces.IElementClass
13824
  com.altera.entityinterfaces.IMutableConnectionPoint
13825
  Interrupt Sender
13826
  13.1
13827
 
13828
 
13829
  1
13830
  fm_3d_wrapprt
13831
  com.altera.entityinterfaces.IElementClass
13832
  com.altera.entityinterfaces.IModule
13833
  3D Core Wrapper
13834
  1.0
13835
 
13836
 
13837
  15
13838
  avalon
13839
  com.altera.entityinterfaces.IElementClass
13840
  com.altera.entityinterfaces.IConnection
13841
  Avalon Memory Mapped Connection
13842
  13.1
13843
 
13844
 
13845
  1
13846
  altera_avalon_epcs_flash_controller
13847
  com.altera.entityinterfaces.IElementClass
13848
  com.altera.entityinterfaces.IModule
13849
  EPCS/EPCQx1 Serial Flash Controller
13850
  13.1
13851
 
13852
 
13853
  1
13854
  fm_vga_wrapper
13855
  com.altera.entityinterfaces.IElementClass
13856
  com.altera.entityinterfaces.IModule
13857
  VGA Wrapper
13858
  1.0
13859
 
13860
 
13861
  10
13862
  avalon_slave
13863
  com.altera.entityinterfaces.IElementClass
13864
  com.altera.entityinterfaces.IMutableConnectionPoint
13865
  Avalon Memory Mapped Slave
13866
  13.1
13867
 
13868
 
13869
  11
13870
  clock_sink
13871
  com.altera.entityinterfaces.IElementClass
13872
  com.altera.entityinterfaces.IMutableConnectionPoint
13873
  Clock Input
13874
  13.1
13875
 
13876
 
13877
  1
13878
  altera_avalon_pio
13879
  com.altera.entityinterfaces.IElementClass
13880
  com.altera.entityinterfaces.IModule
13881
  PIO (Parallel I/O)
13882
  13.1
13883
 
13884
 
13885
  1
13886
  altpll
13887
  com.altera.entityinterfaces.IElementClass
13888
  com.altera.entityinterfaces.IModule
13889
  Avalon ALTPLL
13890
  13.1
13891
 
13892
 
13893
  5
13894
  clock_source
13895
  com.altera.entityinterfaces.IElementClass
13896
  com.altera.entityinterfaces.IMutableConnectionPoint
13897
  Clock Output
13898
  13.1
13899
 
13900
 
13901
  6
13902
  interrupt
13903
  com.altera.entityinterfaces.IElementClass
13904
  com.altera.entityinterfaces.IConnection
13905
  Interrupt Connection
13906
  13.1
13907
 
13908
 
13909
  1
13910
  altera_nios2_qsys
13911
  com.altera.entityinterfaces.IElementClass
13912
  com.altera.entityinterfaces.IModule
13913
  Nios II Processor
13914
  13.1
13915
 
13916
 
13917
  1
13918
  clock_source
13919
  com.altera.entityinterfaces.IElementClass
13920
  com.altera.entityinterfaces.IMutableConnectionPoint
13921
  Clock Output
13922
  13.1
13923
 
13924
 
13925
  1
13926
  clock_source
13927
  com.altera.entityinterfaces.IElementClass
13928
  com.altera.entityinterfaces.IModule
13929
  Clock Source
13930
  13.1
13931
 
13932
 13.1 162
13933
 
13934

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.