OpenCores
URL https://opencores.org/ocsvn/wf3d/wf3d/trunk

Subversion Repositories wf3d

[/] [wf3d/] [trunk/] [implement/] [synth/] [zedboard/] [implement.tcl] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 specular
set PROJ_NAME polyphony
2
set PROJ_DIR .
3
set TOP_NAME zed_base_wrapper
4
set BD_IP_DIR ./${PROJ_NAME}.srcs/sources_1/bd/zed_base/ip
5
 
6
create_project -in_memory -part xc7z020clg484-1
7
set_property board_part em.avnet.com:zed:part0:1.3 [current_project]
8
set_property design_mode GateLvl [current_fileset]
9
set_property parent.project_path ${PROJ_DIR}/${PROJ_NAME}.xpr [current_project]
10
set_property ip_repo_paths ${PROJ_DIR}/${PROJ_NAME}.cache/ip [current_project]
11
set_property ip_output_repo ${PROJ_DIR}/${PROJ_NAME}.cache/ip [current_project]
12
add_files -quiet ${TOP_NAME}.dcp
13 8 specular
 
14
# version check
15
if {[expr [version -short]] >= 2016.3} {
16
  set PS7 ps7
17
} else {
18
  set PS7 processing_system7
19
}
20
 
21 5 specular
# very important
22
read_xdc -prop_thru_buffers -ref zed_base_axi_gpio_0_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0_board.xdc
23
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0_board.xdc]
24
read_xdc -ref zed_base_axi_gpio_0_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0.xdc
25
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_0_0/zed_base_axi_gpio_0_0.xdc]
26
read_xdc -prop_thru_buffers -ref zed_base_axi_gpio_1_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_1_0/zed_base_axi_gpio_1_0_board.xdc
27
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_1_0/zed_base_axi_gpio_1_0_board.xdc]
28
read_xdc -ref zed_base_axi_gpio_1_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_1_0/zed_base_axi_gpio_1_0.xdc
29
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_1_0/zed_base_axi_gpio_1_0.xdc]
30
read_xdc -prop_thru_buffers -ref zed_base_axi_gpio_2_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0_board.xdc
31
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0_board.xdc]
32
read_xdc -ref zed_base_axi_gpio_2_0 -cells U0 ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0.xdc
33
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_axi_gpio_2_0/zed_base_axi_gpio_2_0.xdc]
34
read_xdc -ref zed_base_processing_system7_0_0 -cells inst ${BD_IP_DIR}/zed_base_processing_system7_0_0/zed_base_processing_system7_0_0.xdc
35
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_processing_system7_0_0/zed_base_processing_system7_0_0.xdc]
36 8 specular
read_xdc -prop_thru_buffers -ref zed_base_rst_${PS7}_0_50M_0 ${BD_IP_DIR}/zed_base_rst_${PS7}_0_50M_0/zed_base_rst_${PS7}_0_50M_0_board.xdc
37
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_rst_${PS7}_0_50M_0/zed_base_rst_${PS7}_0_50M_0_board.xdc]
38
read_xdc -ref zed_base_rst_${PS7}_0_50M_0 ${BD_IP_DIR}/zed_base_rst_${PS7}_0_50M_0/zed_base_rst_${PS7}_0_50M_0.xdc
39
set_property processing_order EARLY [get_files ${BD_IP_DIR}/zed_base_rst_${PS7}_0_50M_0/zed_base_rst_${PS7}_0_50M_0.xdc]
40 5 specular
read_xdc user_const.xdc
41
# Link
42
link_design -top ${TOP_NAME} -part xc7z020clg484-1
43
# Opt
44
opt_design
45
write_checkpoint -force ${TOP_NAME}_opt.dcp
46
report_drc -file ${TOP_NAME}_drc_opted.rpt
47
write_hwdef -force -file ${TOP_NAME}.hwdef
48
# Place
49
place_design
50
write_checkpoint -force ${TOP_NAME}_placed.dcp
51
report_io -file ${TOP_NAME}_io_placed.rpt
52
report_utilization -file ${TOP_NAME}_utilization_placed.rpt -pb ${TOP_NAME}_utilization_placed.pb
53
report_control_sets -verbose -file ${TOP_NAME}_control_sets_placed.rpt
54
# Route
55
route_design
56
write_checkpoint -force ${TOP_NAME}_routed.dcp
57
report_drc -file ${TOP_NAME}_drc_routed.rpt -pb ${TOP_NAME}_drc_routed.pb
58
report_timing_summary -warn_on_violation -max_paths 10 -file ${TOP_NAME}_timing_summary_routed.rpt -rpx ${TOP_NAME}_timing_summary_routed.rpx
59
report_power -file ${TOP_NAME}_power_routed.rpt -pb ${TOP_NAME}_power_summary_routed.pb
60
report_route_status -file ${TOP_NAME}_route_status.rpt -pb ${TOP_NAME}_route_status.pb
61
report_clock_utilization -file ${TOP_NAME}_clock_utilization_routed.rpt

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.