OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [sim/] [wiegand_tb.mpf] - Blame information for rev 16

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 jeaander
; Copyright 1991-2009 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
verilog = $MODEL_TECH/../verilog
13
vital2000 = $MODEL_TECH/../vital2000
14
std_developerskit = $MODEL_TECH/../std_developerskit
15
synopsys = $MODEL_TECH/../synopsys
16
modelsim_lib = $MODEL_TECH/../modelsim_lib
17
sv_std = $MODEL_TECH/../sv_std
18
 
19
; Altera Primitive libraries
20
;
21
; VHDL Section
22
;
23
altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf
24
altera = $MODEL_TECH/../altera/vhdl/altera
25
altera_lnsim = $MODEL_TECH/../altera/vhdl/altera_lnsim
26
lpm = $MODEL_TECH/../altera/vhdl/220model
27
220model = $MODEL_TECH/../altera/vhdl/220model
28
maxii = $MODEL_TECH/../altera/vhdl/maxii
29
maxv = $MODEL_TECH/../altera/vhdl/maxv
30
fiftyfivenm = $MODEL_TECH/../altera/vhdl/fiftyfivenm
31
sgate = $MODEL_TECH/../altera/vhdl/sgate
32
arriaii = $MODEL_TECH/../altera/vhdl/arriaii
33
arriaii_hssi = $MODEL_TECH/../altera/vhdl/arriaii_hssi
34
arriaii_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaii_pcie_hip
35
arriaiigz = $MODEL_TECH/../altera/vhdl/arriaiigz
36
arriaiigz_hssi = $MODEL_TECH/../altera/vhdl/arriaiigz_hssi
37
arriaiigz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaiigz_pcie_hip
38
stratixiv = $MODEL_TECH/../altera/vhdl/stratixiv
39
stratixiv_hssi = $MODEL_TECH/../altera/vhdl/stratixiv_hssi
40
stratixiv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixiv_pcie_hip
41
cycloneiv = $MODEL_TECH/../altera/vhdl/cycloneiv
42
cycloneiv_hssi = $MODEL_TECH/../altera/vhdl/cycloneiv_hssi
43
cycloneiv_pcie_hip = $MODEL_TECH/../altera/vhdl/cycloneiv_pcie_hip
44
cycloneive = $MODEL_TECH/../altera/vhdl/cycloneive
45
stratixv = $MODEL_TECH/../altera/vhdl/stratixv
46
stratixv_hssi = $MODEL_TECH/../altera/vhdl/stratixv_hssi
47
stratixv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixv_pcie_hip
48
arriavgz = $MODEL_TECH/../altera/vhdl/arriavgz
49
arriavgz_hssi = $MODEL_TECH/../altera/vhdl/arriavgz_hssi
50
arriavgz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriavgz_pcie_hip
51
arriav = $MODEL_TECH/../altera/vhdl/arriav
52
cyclonev = $MODEL_TECH/../altera/vhdl/cyclonev
53
;
54
; Verilog Section
55
;
56
altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf
57
altera_ver = $MODEL_TECH/../altera/verilog/altera
58
altera_lnsim_ver = $MODEL_TECH/../altera/verilog/altera_lnsim
59
lpm_ver = $MODEL_TECH/../altera/verilog/220model
60
220model_ver = $MODEL_TECH/../altera/verilog/220model
61
maxii_ver = $MODEL_TECH/../altera/verilog/maxii
62
maxv_ver = $MODEL_TECH/../altera/verilog/maxv
63
fiftyfivenm_ver = $MODEL_TECH/../altera/verilog/fiftyfivenm
64
sgate_ver = $MODEL_TECH/../altera/verilog/sgate
65
arriaii_ver = $MODEL_TECH/../altera/verilog/arriaii
66
arriaii_hssi_ver = $MODEL_TECH/../altera/verilog/arriaii_hssi
67
arriaii_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaii_pcie_hip
68
arriaiigz_ver = $MODEL_TECH/../altera/verilog/arriaiigz
69
arriaiigz_hssi_ver = $MODEL_TECH/../altera/verilog/arriaiigz_hssi
70
arriaiigz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaiigz_pcie_hip
71
stratixiv_ver = $MODEL_TECH/../altera/verilog/stratixiv
72
stratixiv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiv_hssi
73
stratixiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixiv_pcie_hip
74
stratixv_ver = $MODEL_TECH/../altera/verilog/stratixv
75
stratixv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixv_hssi
76
stratixv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixv_pcie_hip
77
arriavgz_ver = $MODEL_TECH/../altera/verilog/arriavgz
78
arriavgz_hssi_ver = $MODEL_TECH/../altera/verilog/arriavgz_hssi
79
arriavgz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriavgz_pcie_hip
80
arriav_ver = $MODEL_TECH/../altera/verilog/arriav
81
arriav_hssi_ver = $MODEL_TECH/../altera/verilog/arriav_hssi
82
arriav_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriav_pcie_hip
83
cyclonev_ver = $MODEL_TECH/../altera/verilog/cyclonev
84
cyclonev_hssi_ver = $MODEL_TECH/../altera/verilog/cyclonev_hssi
85
cyclonev_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cyclonev_pcie_hip
86
cycloneiv_ver = $MODEL_TECH/../altera/verilog/cycloneiv
87
cycloneiv_hssi_ver = $MODEL_TECH/../altera/verilog/cycloneiv_hssi
88
cycloneiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cycloneiv_pcie_hip
89
cycloneive_ver = $MODEL_TECH/../altera/verilog/cycloneive
90
 
91
work = work
92
[vcom]
93
; VHDL93 variable selects language version as the default.
94
; Default is VHDL-2002.
95
; Value of 0 or 1987 for VHDL-1987.
96
; Value of 1 or 1993 for VHDL-1993.
97
; Default or value of 2 or 2002 for VHDL-2002.
98
; Default or value of 3 or 2008 for VHDL-2008.
99
VHDL93 = 2002
100
 
101
; Show source line containing error. Default is off.
102
; Show_source = 1
103
 
104
; Turn off unbound-component warnings. Default is on.
105
; Show_Warning1 = 0
106
 
107
; Turn off process-without-a-wait-statement warnings. Default is on.
108
; Show_Warning2 = 0
109
 
110
; Turn off null-range warnings. Default is on.
111
; Show_Warning3 = 0
112
 
113
; Turn off no-space-in-time-literal warnings. Default is on.
114
; Show_Warning4 = 0
115
 
116
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
117
; Show_Warning5 = 0
118
 
119
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
120
; Optimize_1164 = 0
121
 
122
; Turn on resolving of ambiguous function overloading in favor of the
123
; "explicit" function declaration (not the one automatically created by
124
; the compiler for each type declaration). Default is off.
125
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
126
; will match the behavior of synthesis tools.
127
Explicit = 1
128
 
129
; Turn off acceleration of the VITAL packages. Default is to accelerate.
130
; NoVital = 1
131
 
132
; Turn off VITAL compliance checking. Default is checking on.
133
; NoVitalCheck = 1
134
 
135
; Ignore VITAL compliance checking errors. Default is to not ignore.
136
; IgnoreVitalErrors = 1
137
 
138
; Turn off VITAL compliance checking warnings. Default is to show warnings.
139
; Show_VitalChecksWarnings = 0
140
 
141
; Keep silent about case statement static warnings.
142
; Default is to give a warning.
143
; NoCaseStaticError = 1
144
 
145
; Keep silent about warnings caused by aggregates that are not locally static.
146
; Default is to give a warning.
147
; NoOthersStaticError = 1
148
 
149
; Turn off inclusion of debugging info within design units.
150
; Default is to include debugging info.
151
; NoDebug = 1
152
 
153
; Turn off "Loading..." messages. Default is messages on.
154
; Quiet = 1
155
 
156
; Turn on some limited synthesis rule compliance checking. Checks only:
157
;    -- signals used (read) by a process must be in the sensitivity list
158
; CheckSynthesis = 1
159
 
160
; Activate optimizations on expressions that do not involve signals,
161
; waits, or function/procedure/task invocations. Default is off.
162
; ScalarOpts = 1
163
 
164
; Require the user to specify a configuration for all bindings,
165
; and do not generate a compile time default binding for the
166
; component. This will result in an elaboration error of
167
; 'component not bound' if the user fails to do so. Avoids the rare
168
; issue of a false dependency upon the unused default binding.
169
; RequireConfigForAllDefaultBinding = 1
170
 
171
; Inhibit range checking on subscripts of arrays. Range checking on
172
; scalars defined with subtypes is inhibited by default.
173
; NoIndexCheck = 1
174
 
175
; Inhibit range checks on all (implicit and explicit) assignments to
176
; scalar objects defined with subtypes.
177
; NoRangeCheck = 1
178
 
179
[vlog]
180
 
181
; Turn off inclusion of debugging info within design units.
182
; Default is to include debugging info.
183
; NoDebug = 1
184
 
185
; Turn off "loading..." messages. Default is messages on.
186
; Quiet = 1
187
 
188
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
189
; Default is off.
190
; Hazard = 1
191
 
192
; Turn on converting regular Verilog identifiers to uppercase. Allows case
193
; insensitivity for module names. Default is no conversion.
194
; UpCase = 1
195
 
196
; Turn on incremental compilation of modules. Default is off.
197
; Incremental = 1
198
 
199
; Turns on lint-style checking.
200
; Show_Lint = 1
201
 
202
[vsim]
203
; Simulator resolution
204
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
205
Resolution = ps
206
 
207
; User time unit for run commands
208
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
209
; unit specified for Resolution. For example, if Resolution is 100ps,
210
; then UserTimeUnit defaults to ps.
211
; Should generally be set to default.
212
UserTimeUnit = default
213
 
214
; Default run length
215
RunLength = 100 ns
216
 
217
; Maximum iterations that can be run without advancing simulation time
218
IterationLimit = 5000
219
 
220
; Directive to license manager:
221
; vhdl          Immediately reserve a VHDL license
222
; vlog          Immediately reserve a Verilog license
223
; plus          Immediately reserve a VHDL and Verilog license
224
; nomgc         Do not look for Mentor Graphics Licenses
225
; nomti         Do not look for Model Technology Licenses
226
; noqueue       Do not wait in the license queue when a license isn't available
227
; viewsim       Try for viewer license but accept simulator license(s) instead
228
;               of queuing for viewer license
229
; License = plus
230
 
231
; Stop the simulator after a VHDL/Verilog assertion message
232
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
233
BreakOnAssertion = 3
234
 
235
; Assertion Message Format
236
; %S - Severity Level
237
; %R - Report Message
238
; %T - Time of assertion
239
; %D - Delta
240
; %I - Instance or Region pathname (if available)
241
; %% - print '%' character
242
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
243
 
244
; Assertion File - alternate file for storing VHDL/Verilog assertion messages
245
; AssertFile = assert.log
246
 
247
; Default radix for all windows and commands...
248
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
249
DefaultRadix = symbolic
250
 
251
; VSIM Startup command
252
; Startup = do startup.do
253
 
254
; File for saving command transcript
255
TranscriptFile = transcript
256
 
257
; File for saving command history
258
; CommandHistory = cmdhist.log
259
 
260
; Specify whether paths in simulator commands should be described
261
; in VHDL or Verilog format.
262
; For VHDL, PathSeparator = /
263
; For Verilog, PathSeparator = .
264
; Must not be the same character as DatasetSeparator.
265
PathSeparator = /
266
 
267
; Specify the dataset separator for fully rooted contexts.
268
; The default is ':'. For example, sim:/top
269
; Must not be the same character as PathSeparator.
270
DatasetSeparator = :
271
 
272
; Disable VHDL assertion messages
273
; IgnoreNote = 1
274
; IgnoreWarning = 1
275
; IgnoreError = 1
276
; IgnoreFailure = 1
277
 
278
; Default force kind. May be freeze, drive, deposit, or default
279
; or in other terms, fixed, wired, or charged.
280
; A value of "default" will use the signal kind to determine the
281
; force kind, drive for resolved signals, freeze for unresolved signals
282
; DefaultForceKind = freeze
283
 
284
; If zero, open files when elaborated; otherwise, open files on
285
; first read or write.  Default is 0.
286
; DelayFileOpen = 1
287
 
288
; Control VHDL files opened for write.
289
;   0 = Buffered, 1 = Unbuffered
290
UnbufferedOutput = 0
291
 
292
; Control the number of VHDL files open concurrently.
293
; This number should always be less than the current ulimit
294
; setting for max file descriptors.
295
;   0 = unlimited
296
ConcurrentFileLimit = 40
297
 
298
; Control the number of hierarchical regions displayed as
299
; part of a signal name shown in the Wave window.
300
; A value of zero tells VSIM to display the full name.
301
; The default is 0.
302
; WaveSignalNameWidth = 0
303
 
304
; Turn off warnings from the std_logic_arith, std_logic_unsigned
305
; and std_logic_signed packages.
306
; StdArithNoWarnings = 1
307
 
308
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
309
; NumericStdNoWarnings = 1
310
 
311
; Control the format of the (VHDL) FOR generate statement label
312
; for each iteration.  Do not quote it.
313
; The format string here must contain the conversion codes %s and %d,
314
; in that order, and no other conversion codes.  The %s represents
315
; the generate_label; the %d represents the generate parameter value
316
; at a particular generate iteration (this is the position number if
317
; the generate parameter is of an enumeration type).  Embedded whitespace
318
; is allowed (but discouraged); leading and trailing whitespace is ignored.
319
; Application of the format must result in a unique scope name over all
320
; such names in the design so that name lookup can function properly.
321
; GenerateFormat = %s__%d
322
 
323
; Specify whether checkpoint files should be compressed.
324
; The default is 1 (compressed).
325
; CheckpointCompressMode = 0
326
 
327
; List of dynamically loaded objects for Verilog PLI applications
328
; Veriuser = veriuser.sl
329
 
330
; Specify default options for the restart command. Options can be one
331
; or more of: -force -nobreakpoint -nolist -nolog -nowave
332
; DefaultRestartOptions = -force
333
 
334
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
335
; (> 500 megabyte memory footprint). Default is disabled.
336
; Specify number of megabytes to lock.
337
; LockedMemory = 1000
338
 
339
; Turn on (1) or off (0) WLF file compression.
340
; The default is 1 (compress WLF file).
341
; WLFCompress = 0
342
 
343
; Specify whether to save all design hierarchy (1) in the WLF file
344
; or only regions containing logged signals (0).
345
; The default is 0 (save only regions with logged signals).
346
; WLFSaveAllRegions = 1
347
 
348
; WLF file time limit.  Limit WLF file by time, as closely as possible,
349
; to the specified amount of simulation time.  When the limit is exceeded
350
; the earliest times get truncated from the file.
351
; If both time and size limits are specified the most restrictive is used.
352
; UserTimeUnits are used if time units are not specified.
353
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
354
; WLFTimeLimit = 0
355
 
356
; WLF file size limit.  Limit WLF file size, as closely as possible,
357
; to the specified number of megabytes.  If both time and size limits
358
; are specified then the most restrictive is used.
359
; The default is 0 (no limit).
360
; WLFSizeLimit = 1000
361
 
362
; Specify whether or not a WLF file should be deleted when the
363
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
364
; The default is 0 (do not delete WLF file when simulation ends).
365
; WLFDeleteOnQuit = 1
366
 
367
; Automatic SDF compilation
368
; Disables automatic compilation of SDF files in flows that support it.
369
; Default is on, uncomment to turn off.
370
; NoAutoSDFCompile = 1
371
 
372
[lmc]
373
 
374
[msg_system]
375
; Change a message severity or suppress a message.
376
; The format is:  = [,...]
377
; Examples:
378
;   note = 3009
379
;   warning = 3033
380
;   error = 3010,3016
381
;   fatal = 3016,3033
382
;   suppress = 3009,3016,3043
383
; The command verror  can be used to get the complete
384
; description of a message.
385
 
386
; Control transcripting of elaboration/runtime messages.
387
; The default is to have messages appear in the transcript and
388
; recorded in the wlf file (messages that are recorded in the
389
; wlf file can be viewed in the MsgViewer).  The other settings
390
; are to send messages only to the transcript or only to the
391
; wlf file.  The valid values are
392
;    both  {default}
393
;    tran  {transcript only}
394
;    wlf   {wlf file only}
395
; msgmode = both
396
[Project]
397
; Warning -- Do not edit the project properties directly.
398
;            Property names are dynamic in nature and property
399
;            values have special syntax.  Changing property data directly
400
;            can result in a corrupt MPF file.  All project properties
401
;            can be modified through project window dialogs.
402
Project_Version = 6
403
Project_DefaultLib = work
404
Project_SortMethod = unused
405
Project_Files_Count = 6
406
Project_File_0 = C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wb_interface.v
407
Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 0 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 1 vlog_0InOptions {} toggle - vlog_options +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog compile_to work vlog_upper 0 cover_noshort 0 compile_order 3 dont_compile 0 cover_expr 0 cover_stmt 0
408
Project_File_1 = C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench/testbench_top.v
409
Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1423253444 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench+incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
410
Project_File_2 = C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_rx_top.v
411
Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 0 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 5 cover_expr 0 dont_compile 0 cover_stmt 0
412
Project_File_3 = C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/fifos.v
413
Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1420060928 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog compile_order 2 cover_expr 0 dont_compile 0 cover_stmt 0
414
Project_File_4 = C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench/testcase_1.v
415
Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1423253479 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/bench+incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
416
Project_File_5 = C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog/wiegand_tx_top.v
417
Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 0 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 1 cover_noshort 0 vlog_upper 0 compile_to work vlog_options +incdir+C:/Users/jeffA/Desktop/rtl/wiegand/trunk/rtl/verilog compile_order 4 cover_expr 0 dont_compile 0 cover_stmt 0
418
Project_Sim_Count = 0
419
Project_Folder_Count = 0
420
Echo_Compile_Output = 1
421
Save_Compile_Report = 1
422
Project_Opt_Count = 0
423
ForceSoftPaths = 0
424
ProjectStatusDelay = 5000
425
VERILOG_DoubleClick = Edit
426
VERILOG_CustomDoubleClick =
427
SYSTEMVERILOG_DoubleClick = Edit
428
SYSTEMVERILOG_CustomDoubleClick =
429
VHDL_DoubleClick = Edit
430
VHDL_CustomDoubleClick =
431
PSL_DoubleClick = Edit
432
PSL_CustomDoubleClick =
433
TEXT_DoubleClick = Edit
434
TEXT_CustomDoubleClick =
435
SYSTEMC_DoubleClick = Edit
436
SYSTEMC_CustomDoubleClick =
437
TCL_DoubleClick = Edit
438
TCL_CustomDoubleClick =
439
MACRO_DoubleClick = Edit
440
MACRO_CustomDoubleClick =
441
VCD_DoubleClick = Edit
442
VCD_CustomDoubleClick =
443
SDF_DoubleClick = Edit
444
SDF_CustomDoubleClick =
445
XML_DoubleClick = Edit
446
XML_CustomDoubleClick =
447
LOGFILE_DoubleClick = Edit
448
LOGFILE_CustomDoubleClick =
449
UCDB_DoubleClick = Edit
450
UCDB_CustomDoubleClick =
451
UPF_DoubleClick = Edit
452
UPF_CustomDoubleClick =
453
PCF_DoubleClick = Edit
454
PCF_CustomDoubleClick =
455
PROJECT_DoubleClick = Edit
456
PROJECT_CustomDoubleClick =
457
VRM_DoubleClick = Edit
458
VRM_CustomDoubleClick =
459
DEBUGDATABASE_DoubleClick = Edit
460
DEBUGDATABASE_CustomDoubleClick =
461
DEBUGARCHIVE_DoubleClick = Edit
462
DEBUGARCHIVE_CustomDoubleClick =
463
Project_Major_Version = 10
464
Project_Minor_Version = 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.