OpenCores
URL https://opencores.org/ocsvn/wrimm/wrimm/trunk

Subversion Repositories wrimm

[/] [wrimm/] [trunk/] [WrimmBuild.sh] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 barryw
#!/bin/sh
2
 
3
# Propery of Tecphos Inc.  See WrimmLicense.txt for license details
4
# Latest version of all Wrimm project files available at http://opencores.org/project,wrimm
5
# See WrimmManual.pdf for the Wishbone Datasheet and implementation details.
6
# See wrimm subversion project for version history
7
 
8
#GHDL simulation script and gtkWave view of results
9
 
10
ghdl -i -v --workdir=work *.vhd
11
 
12
ghdl -m --workdir=work wrimm_top_tb
13
 
14
ghdl -r wrimm_top_tb --vcd=wrimm.vcd --assert-level=warning --stop-time=119ns
15
 
16
# gtkwave wrimm.vcd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.