OpenCores
URL https://opencores.org/ocsvn/xilinx_virtex_fp_library/xilinx_virtex_fp_library/trunk

Subversion Repositories xilinx_virtex_fp_library

[/] [xilinx_virtex_fp_library/] [trunk/] [GeneralPrecMAF/] [Multiply_Accumulate.v] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 constantin
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: 
5
// 
6
// Create Date:    17:53:05 10/15/2013 
7
// Design Name: 
8
// Module Name:    Multiply_Accumulate 
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: C ± A*B
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
module Multiply_Accumulate #(   parameter size_exponent = 8,    //exponent bits
22
                                                                                parameter size_mantissa = 24,   //mantissa bits
23
                                                                                parameter size_counter  = 5,    //log2(size_mantissa) + 1 = 5
24
                                                                                parameter size_exception_field = 2,     // zero/normal numbers/infinity/NaN
25
                                                                                parameter zero                          = 00, //00
26
                                                                                parameter normal_number = 01, //01
27
                                                                                parameter infinity              = 10, //10
28
                                                                                parameter NaN                           = 11, //11
29
                                                                                parameter pipeline              = 0,
30
                                                                                parameter pipeline_pos  = 0,  //8 bits
31
 
32
                                                                                parameter size = size_exponent + size_mantissa + size_exception_field,
33
                                                                                parameter size_mul_mantissa = size_mantissa + size_mantissa,
34
                                                                                parameter size_mul_counter = size_counter + 1)
35
                                                                        (       input clk,
36
                                                                                input rst,
37
                                                                                //input start,
38
                                                                                input [size - 1:0] a_number_i,
39
                                                                                input [size - 1:0] b_number_i,
40
                                                                                input [size - 1:0] c_number_i,
41
                                                                                input sub,
42
                                                                                //output busy
43
                                                                                output[size - 1:0] resulting_number_o);
44
 
45
 
46
        //reg [size_mantissa - 1 : 0] m_a_number_reg, m_a_number_next;  // (1.original_mantissa) => (size_mantissa+1) because of the hidden bit
47
        //reg [size_mantissa - 1 : 0] m_b_number_reg, m_b_number_next;  // (1.original_mantissa) => (size_mantissa+1) because of the hidden bit
48
        //reg [size_mantissa - 1 : 0] m_c_number_reg, m_c_number_next;  // (1.original_mantissa) => (size_mantissa+1) because of the hidden bit
49
        //reg [size_exponent - 1 : 0] e_a_number_reg, e_a_number_next;
50
        //reg [size_exponent - 1 : 0] e_b_number_reg, e_b_number_next;
51
        //reg [size_exponent - 1 : 0] e_c_number_reg, e_c_number_next;
52
        //reg s_a_number_reg, s_a_number_next;
53
        //reg s_b_number_reg, s_b_number_next;
54
        //reg s_c_number_reg, s_c_number_next;
55
        //reg [size_exception_field - 1 : 0] sp_case_a_number_reg, sp_case_a_number_next;
56
        //reg [size_exception_field - 1 : 0] sp_case_b_number_reg, sp_case_b_number_next;
57
        //reg [size_exception_field - 1 : 0] sp_case_c_number_reg, sp_case_c_number_next;
58
 
59
        wire [size_mantissa - 1 : 0] m_a_number_reg, m_b_number_reg, m_c_number_reg;
60
        wire [size_exponent - 1 : 0] e_a_number_reg, e_b_number_reg, e_c_number_reg;
61
        wire s_a_number_reg, s_b_number_reg, s_c_number_reg;
62
        wire [size_exception_field - 1 : 0] sp_case_a_number_reg, sp_case_b_number_reg, sp_case_c_number_reg;
63
        //---------------------------------------------------------------------------------------
64
 
65
 
66
        wire [size_mul_mantissa-1:0] mul_mantissa, c_mantissa;
67
        wire [size_mul_mantissa  :0] acc_resulting_number;
68
        wire [size_mul_mantissa  :0] ab_shifted_mul_mantissa, c_shifted_mantissa;
69
        wire [size_exponent : 0] exp_ab;
70
        wire [size_exponent-1:0] modify_exp_ab, modify_exp_c;
71
        wire [size_mul_counter-1: 0] lz_mul;
72
        wire sign_res;
73
        wire eff_sub;
74
        wire ovf;
75
        wire comp_exp;
76
        wire [size_mul_mantissa+1:0] normalized_mantissa;
77
        wire [size_exponent  :0] unnormalized_exp;
78
        wire [size_mantissa-2:0] final_mantissa;
79
        wire [size_exponent-1:0] final_exponent;
80
        wire [size_exception_field - 1 : 0] sp_case_result_o;
81
 
82
/*
83
        always
84
                @(posedge clk, posedge rst)
85
        begin
86
                if (rst)
87
                        begin
88
                                m_a_number_reg  <= 0;
89
                                m_b_number_reg          <= 0;
90
                                m_c_number_reg          <= 0;
91
                                e_a_number_reg          <= 0;
92
                                e_b_number_reg          <= 0;
93
                                e_c_number_reg          <= 0;
94
                                s_a_number_reg          <= 0;
95
                                s_b_number_reg          <= 0;
96
                                s_c_number_reg          <= 0;
97
                                sp_case_a_number_reg    <= 0;
98
                                sp_case_b_number_reg    <= 0;
99
                                sp_case_c_number_reg    <= 0;
100
                        end
101
                else
102
                        begin
103
                                m_a_number_reg  <= m_a_number_next;
104
                                m_b_number_reg          <= m_b_number_next;
105
                                m_c_number_reg          <= m_c_number_next;
106
                                e_a_number_reg          <= e_a_number_next;
107
                                e_b_number_reg          <= e_b_number_next;
108
                                e_c_number_reg          <= e_c_number_next;
109
                                s_a_number_reg          <= s_a_number_next;
110
                                s_b_number_reg          <= s_b_number_next;
111
                                s_c_number_reg          <= s_c_number_next;
112
                                sp_case_a_number_reg    <= sp_case_a_number_next;
113
                                sp_case_b_number_reg    <= sp_case_b_number_next;
114
                                sp_case_c_number_reg    <= sp_case_c_number_next;
115
                        end
116
        end
117
 
118
        always
119
                @(*)
120
        begin
121
                m_a_number_next         = m_a_number_reg;
122
                m_b_number_next = m_b_number_reg;
123
                m_c_number_next = m_c_number_reg;
124
                e_a_number_next = e_a_number_reg;
125
                e_b_number_next = e_b_number_reg;
126
                e_c_number_next = e_c_number_reg;
127
                s_a_number_next = s_a_number_reg;
128
                s_b_number_next = s_b_number_reg;
129
                s_c_number_next = s_c_number_reg;
130
                sp_case_a_number_next   = sp_case_a_number_reg;
131
                sp_case_b_number_next   = sp_case_b_number_reg;
132
                sp_case_c_number_next   = sp_case_c_number_reg;
133
                if (start)
134
                        begin
135
                                m_a_number_next         = {1'b1, a_number_i[size_mantissa - 2 : 0]};
136
                                m_b_number_next = {1'b1, b_number_i[size_mantissa - 2 :0]};
137
                                m_c_number_next = {1'b1, c_number_i[size_mantissa - 2 :0]};
138
                                e_a_number_next = a_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];
139
                                e_b_number_next = b_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];
140
                                e_c_number_next = c_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];
141
                                s_a_number_next = a_number_i[size-1];
142
                                s_b_number_next = b_number_i[size-1];
143
                                s_c_number_next = c_number_i[size-1];
144
                                sp_case_a_number_next   = a_number_i[size - 1 : size - size_exception_field];
145
                                sp_case_b_number_next   = b_number_i[size - 1 : size - size_exception_field];
146
                                sp_case_c_number_next   = c_number_i[size - 1 : size - size_exception_field];
147
                        end
148
        end
149
        */
150
 
151
        assign m_a_number_reg   = {1'b1, a_number_i[size_mantissa - 2 :0]};
152
        assign m_b_number_reg   = {1'b1, b_number_i[size_mantissa - 2 :0]};
153
        assign m_c_number_reg   = {1'b1, c_number_i[size_mantissa - 2 :0]};
154
        assign e_a_number_reg   = a_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];
155
        assign e_b_number_reg   = b_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];
156
        assign e_c_number_reg   = c_number_i[size_mantissa + size_exponent - 1 : size_mantissa - 1];
157
        assign s_a_number_reg   = a_number_i[size - size_exception_field - 1];
158
        assign s_b_number_reg   = b_number_i[size - size_exception_field - 1];
159
        assign s_c_number_reg   = c_number_i[size - size_exception_field - 1];
160
        assign sp_case_a_number_reg     = a_number_i[size - 1 : size - size_exception_field];
161
        assign sp_case_b_number_reg     = b_number_i[size - 1 : size - size_exception_field];
162
        assign sp_case_c_number_reg     = c_number_i[size - 1 : size - size_exception_field];
163
        //-------------------------------------------------------------------------------------
164
 
165
 
166
        //instantiate multiply component
167
        multiply #(     .size_mantissa(size_mantissa),
168
                                        .size_counter(size_counter),
169
                                        .size_mul_mantissa(size_mul_mantissa))
170
                multiply_instance (     .a_mantissa_i(m_a_number_reg),
171
                                                                        .b_mantissa_i(m_b_number_reg),
172
                                                                        .mul_mantissa(mul_mantissa));
173
 
174
        assign c_mantissa = {1'b0,m_c_number_reg, {(size_mantissa-1'b1){1'b0}}};
175
        assign exp_ab = e_a_number_reg + e_b_number_reg - ({1'b1,{(size_exponent-1'b1){1'b0}}} - 1'b1);
176
        assign {modify_exp_ab, modify_exp_c, unnormalized_exp} = (exp_ab >= e_c_number_reg)? {8'd0,(exp_ab - e_c_number_reg), exp_ab} : {(e_c_number_reg - exp_ab), 8'd0, e_c_number_reg};
177
 
178
        //instantiate shifter component for mul_mantissa shift, mul_mantissa <=> ab_mantissa
179
        shifter #(      .INPUT_SIZE(size_mul_mantissa),
180
                                        .SHIFT_SIZE(size_exponent),
181
                                        .OUTPUT_SIZE(size_mul_mantissa + 1'b1),
182
                                        .DIRECTION(1'b0), //0=right, 1=left
183
                                        .PIPELINE(pipeline),
184
                                        .POSITION(pipeline_pos))
185
                shifter_ab_instance(    .a(mul_mantissa),//mantissa
186
                                                                .arith(1'b0),//logical shift
187
                                                                .shft(modify_exp_ab),
188
                                                                .shifted_a(ab_shifted_mul_mantissa));//
189
 
190
        //instantiate shifter component for c_mantissa shift
191
        shifter #(      .INPUT_SIZE(size_mul_mantissa),
192
                                        .SHIFT_SIZE(size_exponent),
193
                                        .OUTPUT_SIZE(size_mul_mantissa + 1'b1),
194
                                        .DIRECTION(1'b0), //0=right, 1=left
195
                                        .PIPELINE(pipeline),
196
                                        .POSITION(pipeline_pos))
197
                shifter_c_instance(     .a(c_mantissa),//mantissa
198
                                                                .arith(1'b0),//logical shift
199
                                                                .shft(modify_exp_c),
200
                                                                .shifted_a(c_shifted_mantissa));//
201
 
202
 
203
        //instantiate effective_op component
204
        effective_op effective_op_instance(     .sign_a(s_a_number_reg),
205
                                                                                                        .sign_b(s_b_number_reg),
206
                                                                                                        .sign_c(s_c_number_reg),
207
                                                                                                        .sub(sub),
208
                                                                                                        .eff_sub(eff_sub));
209
 
210
 
211
        //instantiate compare_exponent component
212
        compare_exponent #(     .size_exponent(size_exponent))
213
                compare_exponent_instance       (       .exp_ab(exp_ab),
214
                                                                                                .exp_c(e_c_number_reg),
215
                                                                                                .compare(comp_exp));
216
 
217
 
218
        //instantiate sign_comp component
219
        sign_comp sign_comp_instance(   .sign_a(s_a_number_reg),
220
                                                                                        .sign_b(s_b_number_reg),
221
                                                                                        .sign_c(s_c_number_reg),
222
                                                                                        .comp_exp(comp_exp),
223
                                                                                        .eff_sub(eff_sub),
224
                                                                                        .sign_add(ovf),
225
                                                                                        .sign_res(sign_res));
226
 
227
 
228
        //instantiate accumulate component
229
        accumulate #(   .size_mantissa(size_mantissa),
230
                                                .size_counter(size_counter),
231
                                                .size_mul_mantissa(size_mul_mantissa))
232
                accumulate_instance (   .ab_number_i(ab_shifted_mul_mantissa[size_mul_mantissa:1]),
233
                                                                                .c_number_i(c_shifted_mantissa[size_mul_mantissa:1]),
234
                                                                                .sub(eff_sub),
235
                                                                                .ovf(ovf),
236
                                                                                .acc_resulting_number_o(acc_resulting_number));
237
 
238
 
239
        //instantiate leading_zeros component
240
        leading_zeros #(        .SIZE_INT(size_mul_mantissa + 1'b1),
241
                                                        .SIZE_COUNTER(size_mul_counter),
242
                                                        .PIPELINE(pipeline))
243
                leading_zeros_instance( .a(acc_resulting_number),//mantissa 
244
                                                                                .ovf(ovf), //??????acc_resulting_number[size_mul_mantissa]
245
                                                                                .lz(lz_mul));
246
 
247
 
248
        //instantiate shifter component
249
        shifter #(      .INPUT_SIZE(size_mul_mantissa + 1'b1),
250
                                        .SHIFT_SIZE(size_mul_counter),
251
                                        .OUTPUT_SIZE(size_mul_mantissa + 2'd2),
252
                                        .DIRECTION(1'b1), //0=right, 1=left
253
                                        .PIPELINE(pipeline),
254
                                        .POSITION(pipeline_pos))
255
                shifter_instance(       .a(acc_resulting_number),//mantissa
256
                                                                .arith(1'b0),//logical shift
257
                                                                .shft(lz_mul),
258
                                                                .shifted_a(normalized_mantissa));//resulted mantissa after accumulation --- size_output bits!!! 
259
 
260
        //instantiate special_cases_mul_acc component
261
        special_cases_mul_acc   #(      .size_exception_field   (size_exception_field),
262
                                                                .zero                   (zero                ),
263
                                                                .normal_number          (normal_number       ),
264
                                                                .infinity                       (infinity                    ),
265
                                                                .NaN                            (NaN                         ))
266
                special_cases_mul_acc_instance  (       .sp_case_a_number(sp_case_a_number_reg),
267
                                                                                        .sp_case_b_number(sp_case_b_number_reg),
268
                                                                                        .sp_case_c_number(sp_case_c_number_reg),
269
                                                                                        .sp_case_result_o(sp_case_result_o));
270
 
271
        assign final_exponent = unnormalized_exp - lz_mul + 2'd2;
272
        assign final_mantissa = normalized_mantissa[size_mul_mantissa : size_mul_mantissa+2-size_mantissa];
273
        assign resulting_number_o = {sp_case_result_o, sign_res, final_exponent, final_mantissa};
274
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.