OpenCores
URL https://opencores.org/ocsvn/xilinx_virtex_fp_library/xilinx_virtex_fp_library/trunk

Subversion Repositories xilinx_virtex_fp_library

[/] [xilinx_virtex_fp_library/] [trunk/] [GeneralPrecMAF/] [shifter.v] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 constantin
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: 
5
// 
6
// Create Date:    18:00:33 10/15/2013 
7
// Design Name: 
8
// Module Name:    shifter 
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
 
22
module shifter #(       parameter                INPUT_SIZE = 13,
23
                                                parameter                SHIFT_SIZE = 4,
24
                                                parameter                OUTPUT_SIZE = 24, //>INPUT_SIZE
25
                                                parameter                DIRECTION = 1,
26
                                                parameter                PIPELINE = 1,
27
                                                parameter [7:0]          POSITION = 8'b00000000)
28
                                        (a, arith, shft, shifted_a);
29
 
30
        input [INPUT_SIZE-1:0]   a;
31
        input                    arith;
32
        input [SHIFT_SIZE-1:0]   shft;
33
        output [OUTPUT_SIZE-1:0] shifted_a;
34
 
35
 
36
 
37
        wire [OUTPUT_SIZE-1:0]   a_temp_d[SHIFT_SIZE:0];
38
        wire [OUTPUT_SIZE-1:0]   a_temp_q[SHIFT_SIZE:0];
39
 
40
        assign a_temp_q[0][OUTPUT_SIZE-1 : OUTPUT_SIZE-INPUT_SIZE] = a;
41
        assign a_temp_q[0][OUTPUT_SIZE-1-INPUT_SIZE : 0] = arith;
42
 
43
        generate
44
        begin : GENERATING
45
                genvar i;
46
                for (i = 0; i <= SHIFT_SIZE - 1; i = i + 1)
47
                begin : BARREL_SHIFTER_GENERATION
48
                        if (DIRECTION == 1)
49
                        begin : LEFT
50
                                //begin : 1st_check
51
                                        genvar j;
52
                                        for (j = 0; j <= OUTPUT_SIZE - 1; j = j + 1)
53
                                        begin : MUX_GEN_L
54
                                                if (j < 2 ** i)
55
                                                begin : ZERO_INS_L
56
                                                        assign a_temp_d[i][j] = (shft[i] == 1'b0) ? a_temp_q[i][j] : arith;
57
                                                end
58
 
59
                                                if (j >= 2 ** i)
60
                                                begin : BIT_INS_L
61
                                                        assign a_temp_d[i][j] = (shft[i] == 1'b0) ? a_temp_q[i][j] : a_temp_q[i][j-2**i];
62
                                                end
63
                                        end
64
                                //end
65
                                  end
66
 
67
                        if (DIRECTION == 0)
68
                        begin : RIGHT
69
                                //begin : 2nd_check
70
                                        genvar j;
71
                                        for (j = 0; j <= OUTPUT_SIZE - 1; j = j + 1)
72
                                        begin : MUX_GEN_R
73
                                                if (OUTPUT_SIZE - 1 < 2 ** i + j)
74
                                                begin : ZERO_INS_R
75
                                                        assign a_temp_d[i][j] = (shft[i] == 1'b0) ? a_temp_q[i][j] : arith;
76
                                                end
77
 
78
                                                if (OUTPUT_SIZE - 1 >= 2 ** i + j)
79
                                                begin : BIT_INS_R
80
                                                        assign a_temp_d[i][j] = (shft[i] == 1'b0) ? a_temp_q[i][j] : a_temp_q[i][j+2**i];
81
                                                end
82
                                        end
83
                                //end
84
                        end
85
 
86
                        if (PIPELINE != 0)
87
                        begin : PIPELINE_INSERTION
88
                                if (POSITION[i] == 1'b1)
89
                                begin : LATCH
90
                                        d_ff #(OUTPUT_SIZE) D_INS(.clk(clk), .rst(rst), .d(a_temp_d[i]), .q(a_temp_q[i + 1]));
91
                                end
92
 
93
                                if (POSITION[i] == 1'b0)
94
                                begin : NO_LATCH
95
                                        assign a_temp_q[i + 1] = a_temp_d[i];
96
                                end
97
                        end
98
 
99
                        if (PIPELINE == 0)
100
                        begin : NO_PIPELINE
101
                                assign a_temp_q[i + 1] = a_temp_d[i];
102
                        end
103
                end
104
        end
105
        endgenerate
106
 
107
        assign shifted_a = a_temp_q[SHIFT_SIZE];
108
 
109
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.