OpenCores
URL https://opencores.org/ocsvn/xilinx_virtex_fp_library/xilinx_virtex_fp_library/trunk

Subversion Repositories xilinx_virtex_fp_library

[/] [xilinx_virtex_fp_library/] [trunk/] [SinglePrecision/] [d_ff.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 bigsascha3
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    09:39:58 02/04/2013 
6
-- Design Name: 
7
-- Module Name:    d_ff - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
-- Uncomment the following library declaration if using
24
-- arithmetic functions with Signed or Unsigned values
25
--use IEEE.NUMERIC_STD.ALL;
26
 
27
-- Uncomment the following library declaration if instantiating
28
-- any Xilinx primitives in this code.
29
--library UNISIM;
30
--use UNISIM.VComponents.all;
31
 
32
entity d_ff is
33
        generic(N : integer := 8);
34
        port ( clk, rst : in std_logic;
35
                        d: in std_logic_vector( N - 1 downto 0);
36
                        q : out std_logic_vector( N - 1 downto 0));
37
end d_ff;
38
 
39
architecture Behavioral of d_ff is
40
 
41
begin
42
 
43
 
44
        process (clk, rst)
45
        begin
46
                if(rst = '1') then
47
                        q <= (others => '0');
48
                elsif (clk'event and clk = '1') then
49
                        q<= d;
50
                end if;
51
        end process;
52
 
53
end Behavioral;
54
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.