OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [lib/] [xil_lib/] [DP_RAM_XILINX_256.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 eejlny
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file DP_RAM_XILINX_256.vhd when simulating
30
-- the core, DP_RAM_XILINX_256. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY DP_RAM_XILINX_256 IS
44
        port (
45
        addra: IN std_logic_VECTOR(7 downto 0);
46
        addrb: IN std_logic_VECTOR(7 downto 0);
47
        clka: IN std_logic;
48
        clkb: IN std_logic;
49
        dina: IN std_logic_VECTOR(31 downto 0);
50
        doutb: OUT std_logic_VECTOR(31 downto 0);
51
        enb: IN std_logic;
52
        wea: IN std_logic);
53
END DP_RAM_XILINX_256;
54
 
55
ARCHITECTURE DP_RAM_XILINX_256_a OF DP_RAM_XILINX_256 IS
56
-- synthesis translate_off
57
component wrapped_DP_RAM_XILINX_256
58
        port (
59
        addra: IN std_logic_VECTOR(7 downto 0);
60
        addrb: IN std_logic_VECTOR(7 downto 0);
61
        clka: IN std_logic;
62
        clkb: IN std_logic;
63
        dina: IN std_logic_VECTOR(31 downto 0);
64
        doutb: OUT std_logic_VECTOR(31 downto 0);
65
        enb: IN std_logic;
66
        wea: IN std_logic);
67
end component;
68
 
69
-- Configuration specification 
70
        for all : wrapped_DP_RAM_XILINX_256 use entity XilinxCoreLib.blkmemdp_v6_3(behavioral)
71
                generic map(
72
                        c_reg_inputsb => 0,
73
                        c_reg_inputsa => 0,
74
                        c_has_ndb => 0,
75
                        c_has_nda => 0,
76
                        c_ytop_addr => "1024",
77
                        c_has_rfdb => 0,
78
                        c_has_rfda => 0,
79
                        c_ywea_is_high => 1,
80
                        c_yena_is_high => 1,
81
                        c_yclka_is_rising => 1,
82
                        c_yhierarchy => "hierarchy1",
83
                        c_ysinita_is_high => 1,
84
                        c_ybottom_addr => "0",
85
                        c_width_b => 32,
86
                        c_width_a => 32,
87
                        c_sinita_value => "0",
88
                        c_sinitb_value => "0",
89
                        c_limit_data_pitch => 18,
90
                        c_write_modeb => 0,
91
                        c_write_modea => 2,
92
                        c_has_rdyb => 0,
93
                        c_yuse_single_primitive => 0,
94
                        c_has_rdya => 0,
95
                        c_addra_width => 8,
96
                        c_addrb_width => 8,
97
                        c_has_limit_data_pitch => 0,
98
                        c_default_data => "0",
99
                        c_pipe_stages_b => 0,
100
                        c_yweb_is_high => 1,
101
                        c_yenb_is_high => 1,
102
                        c_pipe_stages_a => 0,
103
                        c_yclkb_is_rising => 1,
104
                        c_yydisable_warnings => 1,
105
                        c_enable_rlocs => 0,
106
                        c_ysinitb_is_high => 1,
107
                        c_has_web => 0,
108
                        c_has_default_data => 1,
109
                        c_has_sinitb => 0,
110
                        c_has_wea => 1,
111
                        c_has_sinita => 0,
112
                        c_has_dinb => 0,
113
                        c_has_dina => 1,
114
                        c_ymake_bmm => 0,
115
                        c_sim_collision_check => "NONE",
116
                        c_has_enb => 1,
117
                        c_has_ena => 0,
118
                        c_depth_b => 256,
119
                        c_mem_init_file => "mif_file_16_1",
120
                        c_depth_a => 256,
121
                        c_has_doutb => 1,
122
                        c_has_douta => 0,
123
                        c_yprimitive_type => "32kx1");
124
-- synthesis translate_on
125
BEGIN
126
-- synthesis translate_off
127
U0 : wrapped_DP_RAM_XILINX_256
128
                port map (
129
                        addra => addra,
130
                        addrb => addrb,
131
                        clka => clka,
132
                        clkb => clkb,
133
                        dina => dina,
134
                        doutb => doutb,
135
                        enb => enb,
136
                        wea => wea);
137
-- synthesis translate_on
138
 
139
END DP_RAM_XILINX_256_a;
140
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.