OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [prj/] [Modelsim/] [xmw.mpf] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 eejlny
; Copyright 1991-2012 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
vital2000 = $MODEL_TECH/../vital2000
13
;
14
; VITAL concerns:
15
;
16
; The library ieee contains (among other packages) the packages of the
17
; VITAL 2000 standard.  When a design uses VITAL 2000 exclusively, it should use
18
; the physical library ieee (recommended), or use the physical library
19
; vital2000, but not both.  The design can use logical library ieee and/or
20
; vital2000 as long as each of these maps to the same physical library, either
21
; ieee or vital2000.
22
;
23
; A design using the 1995 version of the VITAL packages, whether or not
24
; it also uses the 2000 version of the VITAL packages, must have logical library
25
; name ieee mapped to physical library vital1995.  (A design cannot use library
26
; vital1995 directly because some packages in this library use logical name ieee
27
; when referring to the other packages in the library.)  The design source
28
; should use logical name ieee when referring to any packages there except the
29
; VITAL 2000 packages.  Any VITAL 2000 present in the design must use logical
30
; name vital2000 (mapped to physical library vital2000) to refer to those
31
; packages.
32
; ieee = $MODEL_TECH/../vital1995
33
;
34
; For compatiblity with previous releases, logical library name vital2000 maps
35
; to library vital2000 (a different library than library ieee, containing the
36
; same packages).
37
; A design should not reference VITAL from both the ieee library and the
38
; vital2000 library because the vital packages are effectively different.
39
; A design that references both the ieee and vital2000 libraries must have
40
; both logical names ieee and vital2000 mapped to the same library, either of
41
; these:
42
;   $MODEL_TECH/../ieee
43
;   $MODEL_TECH/../vital2000
44
;
45
verilog = $MODEL_TECH/../verilog
46
std_developerskit = $MODEL_TECH/../std_developerskit
47
synopsys = $MODEL_TECH/../synopsys
48
modelsim_lib = $MODEL_TECH/../modelsim_lib
49
sv_std = $MODEL_TECH/../sv_std
50
mtiAvm = $MODEL_TECH/../avm
51
mtiOvm = $MODEL_TECH/../ovm-2.1.2
52
mtiUvm = $MODEL_TECH/../uvm-1.1a
53
mtiUPF = $MODEL_TECH/../upf_lib
54
mtiPA  = $MODEL_TECH/../pa_lib
55
floatfixlib = $MODEL_TECH/../floatfixlib
56
mc2_lib = $MODEL_TECH/../mc2_lib
57
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
58
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
59
;mvc_lib = $MODEL_TECH/../mvc_lib
60
 
61
work = work
62
xil_lib = xil_lib
63
xilinxcorelib = C:/Users/eejlny/Downloads/xilinxcorelib/xilinxcorelib
64
dzx = dzx
65
[vcom]
66
; VHDL93 variable selects language version as the default.
67
; Default is VHDL-2002.
68
; Value of 0 or 1987 for VHDL-1987.
69
; Value of 1 or 1993 for VHDL-1993.
70
; Default or value of 2 or 2002 for VHDL-2002.
71
; Value of 3 or 2008 for VHDL-2008
72
VHDL93 = 2002
73
 
74
; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
75
; ignoreStandardRealVector = 1
76
 
77
; Show source line containing error. Default is off.
78
; Show_source = 1
79
 
80
; Turn off unbound-component warnings. Default is on.
81
; Show_Warning1 = 0
82
 
83
; Turn off process-without-a-wait-statement warnings. Default is on.
84
; Show_Warning2 = 0
85
 
86
; Turn off null-range warnings. Default is on.
87
; Show_Warning3 = 0
88
 
89
; Turn off no-space-in-time-literal warnings. Default is on.
90
; Show_Warning4 = 0
91
 
92
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
93
; Show_Warning5 = 0
94
 
95
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
96
; Optimize_1164 = 0
97
 
98
; Turn on resolving of ambiguous function overloading in favor of the
99
; "explicit" function declaration (not the one automatically created by
100
; the compiler for each type declaration). Default is off.
101
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
102
; will match the behavior of synthesis tools.
103
Explicit = 1
104
 
105
; Turn off acceleration of the VITAL packages. Default is to accelerate.
106
; NoVital = 1
107
 
108
; Turn off VITAL compliance checking. Default is checking on.
109
; NoVitalCheck = 1
110
 
111
; Ignore VITAL compliance checking errors. Default is to not ignore.
112
; IgnoreVitalErrors = 1
113
 
114
; Turn off VITAL compliance checking warnings. Default is to show warnings.
115
; Show_VitalChecksWarnings = 0
116
 
117
; Turn off PSL assertion warning messages. Default is to show warnings.
118
; Show_PslChecksWarnings = 0
119
 
120
; Enable parsing of embedded PSL assertions. Default is enabled.
121
; EmbeddedPsl = 0
122
 
123
; Keep silent about case statement static warnings.
124
; Default is to give a warning.
125
; NoCaseStaticError = 1
126
 
127
; Keep silent about warnings caused by aggregates that are not locally static.
128
; Default is to give a warning.
129
; NoOthersStaticError = 1
130
 
131
; Treat as errors:
132
;   case statement static warnings
133
;   warnings caused by aggregates that are not locally static
134
; Overrides NoCaseStaticError, NoOthersStaticError settings.
135
; PedanticErrors = 1
136
 
137
; Turn off inclusion of debugging info within design units.
138
; Default is to include debugging info.
139
; NoDebug = 1
140
 
141
; Turn off "Loading..." messages. Default is messages on.
142
; Quiet = 1
143
 
144
; Turn on some limited synthesis rule compliance checking. Checks only:
145
;    -- signals used (read) by a process must be in the sensitivity list
146
; CheckSynthesis = 1
147
 
148
; Activate optimizations on expressions that do not involve signals,
149
; waits, or function/procedure/task invocations. Default is off.
150
; ScalarOpts = 1
151
 
152
; Turns on lint-style checking.
153
; Show_Lint = 1
154
 
155
; Require the user to specify a configuration for all bindings,
156
; and do not generate a compile time default binding for the
157
; component. This will result in an elaboration error of
158
; 'component not bound' if the user fails to do so. Avoids the rare
159
; issue of a false dependency upon the unused default binding.
160
; RequireConfigForAllDefaultBinding = 1
161
 
162
; Perform default binding at compile time.
163
; Default is to do default binding at load time.
164
; BindAtCompile = 1;
165
 
166
; Inhibit range checking on subscripts of arrays. Range checking on
167
; scalars defined with subtypes is inhibited by default.
168
; NoIndexCheck = 1
169
 
170
; Inhibit range checks on all (implicit and explicit) assignments to
171
; scalar objects defined with subtypes.
172
; NoRangeCheck = 1
173
 
174
; Run the 0-in compiler on the VHDL source files
175
; Default is off.
176
; ZeroIn = 1
177
 
178
; Set the options to be passed to the 0-in compiler.
179
; Default is "".
180
; ZeroInOptions = ""
181
 
182
; Set the prefix to be honored for synthesis/coverage pragma recognition.
183
; Default is "".
184
; AddPragmaPrefix = ""
185
 
186
; Ignore synthesis and coverage pragmas with this prefix.
187
; Default is "".
188
; IgnorePragmaPrefix = ""
189
 
190
; Turn on code coverage in VHDL design units. Default is off.
191
; Coverage = sbceft
192
 
193
; Turn off code coverage in VHDL subprograms. Default is on.
194
; CoverSub = 0
195
 
196
; Automatically exclude VHDL case statement OTHERS choice branches.
197
; This includes OTHERS choices in selected signal assigment statements.
198
; Default is to not exclude.
199
; CoverExcludeDefault = 1
200
 
201
; Control compiler and VOPT optimizations that are allowed when
202
; code coverage is on.  Refer to the comment for this in the [vlog] area.
203
; CoverOpt = 3
204
 
205
; Turn on or off clkOpt optimization for code coverage. Default is on.
206
; CoverClkOpt = 1
207
 
208
; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
209
; CoverClkOptBuiltins = 0
210
 
211
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
212
; values on signals in conditions and expressions, and to not automatically
213
; convert them to '1' and '0'. Default is to not convert.
214
; CoverRespectHandL = 0
215
 
216
; Increase or decrease the maximum number of rows allowed in a FEC table, implementing
217
; a condition coverage or expression coverage expression, by changing FecEffort.
218
; Higher FecEffort leads to a longer compile time, but more expressions covered.
219
; This is a number from 1 to 3, with the following meanings (the default is 1):
220
;    3 -- High FecEffort, Allows large expressions to be covered, will cause longer compile time.
221
;    2 -- Medium FecEffort, Allows more number of inputs per expression than Low FecEffort to be covered.
222
;    1 -- Low FecEffort, Covers only small expressions or conditions and skips larger ones.
223
; FecEffort = 2
224
 
225
; Enable or disable Focused Expression Coverage analysis for conditions and
226
; expressions. Focused Expression Coverage data is provided by default when
227
; expression and/or condition coverage is active.
228
; CoverFEC = 0
229
 
230
; Enable or disable UDP Coverage analysis for conditions and expressions.
231
; UDP Coverage data is disabled by default when expression and/or condition
232
; coverage is active.
233
; CoverUDP = 1
234
 
235
; Enable or disable short circuit evaluation of conditions and expressions when
236
; condition or expression coverage is active. Short circuit evaluation is enabled
237
; by default.
238
; CoverShortCircuit = 0
239
 
240
; Enable code coverage reporting of code that has been optimized away.
241
; The default is not to report.
242
; CoverReportCancelled = 1
243
 
244
; Use this directory for compiler temporary files instead of "work/_temp"
245
; CompilerTempDir = /tmp
246
 
247
; Set this to cause the compilers to force data to be committed to disk
248
; when the files are closed.
249
; SyncCompilerFiles = 1
250
 
251
; Add VHDL-AMS declarations to package STANDARD
252
; Default is not to add
253
; AmsStandard = 1
254
 
255
; Range and length checking will be performed on array indices and discrete
256
; ranges, and when violations are found within subprograms, errors will be
257
; reported. Default is to issue warnings for violations, because subprograms
258
; may not be invoked.
259
; NoDeferSubpgmCheck = 0
260
 
261
; Turn ON detection of FSMs having single bit current state variable.
262
; FsmSingle = 1
263
 
264
; Turn off reset state transitions in FSM.
265
; FsmResetTrans = 0
266
 
267
; Turn ON detection of FSM Implicit Transitions.
268
; FsmImplicitTrans = 1
269
 
270
; Controls whether or not to show immediate assertions with constant expressions
271
; in GUI/report/UCDB etc. By default, immediate assertions with constant
272
; expressions are shown in GUI/report/UCDB etc. This does not affect
273
; evaluation of immediate assertions.
274
; ShowConstantImmediateAsserts = 0
275
 
276
; Controls how VHDL basic identifiers are stored with the design unit.
277
; Does not make the language case-sensitive, affects only how declarations
278
; declared with basic identifiers have their names stored and printed
279
; (in the GUI, examine, etc.).
280
; Default is to preserve the case as originally depicted in the VHDL source.
281
; Value of 0 indicates to change all basic identifiers to lower case.
282
; PreserveCase = 0
283
 
284
; For Configuration Declarations, controls the effect that USE clauses have
285
; on visibility inside the configuration items being configured.  If 1
286
; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance,
287
; extend the visibility of objects made visible through USE clauses into nested
288
; component configurations.
289
; OldVHDLConfigurationVisibility = 0
290
 
291
; Allows VHDL configuration declarations to be in a different library from
292
; the corresponding configured entity. Default is to not allow this for
293
; stricter LRM-compliance.
294
; SeparateConfigLibrary = 1;
295
 
296
; Determine how mode OUT subprogram parameters of type array and record are treated.
297
; If 0 (the default), then only VHDL 2008 will do this initialization.
298
; If 1, always initialize the mode OUT parameter to its default value.
299
; If 2, do not initialize the mode OUT out parameter.
300
; Note that prior to release 10.1, all language versions did not initialize mode
301
; OUT array and record type parameters, unless overridden here via this mechanism.
302
; In release 10.1 and later, only files compiled with VHDL 2008 will cause this
303
; initialization, unless overridden here.
304
; InitOutCompositeParam = 0
305
 
306
[vlog]
307
; Turn off inclusion of debugging info within design units.
308
; Default is to include debugging info.
309
; NoDebug = 1
310
 
311
; Turn on `protect compiler directive processing.
312
; Default is to ignore `protect directives.
313
; Protect = 1
314
 
315
; Turn off "Loading..." messages. Default is messages on.
316
; Quiet = 1
317
 
318
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
319
; Default is off.
320
; Hazard = 1
321
 
322
; Turn on converting regular Verilog identifiers to uppercase. Allows case
323
; insensitivity for module names. Default is no conversion.
324
; UpCase = 1
325
 
326
; Activate optimizations on expressions that do not involve signals,
327
; waits, or function/procedure/task invocations. Default is off.
328
; ScalarOpts = 1
329
 
330
; Turns on lint-style checking.
331
; Show_Lint = 1
332
 
333
; Show source line containing error. Default is off.
334
; Show_source = 1
335
 
336
; Turn on bad option warning. Default is off.
337
; Show_BadOptionWarning = 1
338
 
339
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
340
; vlog95compat = 1
341
 
342
; Turn off PSL warning messages. Default is to show warnings.
343
; Show_PslChecksWarnings = 0
344
 
345
; Enable parsing of embedded PSL assertions. Default is enabled.
346
; EmbeddedPsl = 0
347
 
348
; Set the threshold for automatically identifying sparse Verilog memories.
349
; A memory with depth equal to or more than the sparse memory threshold gets
350
; marked as sparse automatically, unless specified otherwise in source code
351
; or by +nosparse commandline option of vlog or vopt.
352
; The default is 1M.  (i.e. memories with depth equal
353
; to or greater than 1M are marked as sparse)
354
; SparseMemThreshold = 1048576
355
 
356
; Run the 0-in compiler on the Verilog source files
357
; Default is off.
358
; ZeroIn = 1
359
 
360
; Set the options to be passed to the 0-in compiler.
361
; Default is "".
362
; ZeroInOptions = ""
363
 
364
; Set the prefix to be honored for synthesis and coverage pragma recognition.
365
; Default is "".
366
; AddPragmaPrefix = ""
367
 
368
; Ignore synthesis and coverage pragmas with this prefix.
369
; Default is "".
370
; IgnorePragmaPrefix = ""
371
 
372
; Set the option to treat all files specified in a vlog invocation as a
373
; single compilation unit. The default value is set to 0 which will treat
374
; each file as a separate compilation unit as specified in the P1800 draft standard.
375
; MultiFileCompilationUnit = 1
376
 
377
; Turn on code coverage in Verilog design units. Default is off.
378
; Coverage = sbceft
379
 
380
; Automatically exclude Verilog case statement default branches.
381
; Default is to not automatically exclude defaults.
382
; CoverExcludeDefault = 1
383
 
384
; Increase or decrease the maximum number of rows allowed in a FEC table, implementing
385
; a condition coverage or expression coverage expression, by changing FecEffort.
386
; Higher FecEffort leads to a longer compile time, but more expressions covered.
387
; This is a number from 1 to 3, with the following meanings (the default is 1):
388
;    3 -- High FecEffort, Allows large expressions to be covered, will cause longer compile time.
389
;    2 -- Medium FecEffort, Allows more number of inputs per expression than Low FecEffort to be covered.
390
;    1 -- Low FecEffort, Covers only small expressions or conditions and skips larger ones.
391
; FecEffort = 2
392
 
393
; Enable or disable Focused Expression Coverage analysis for conditions and
394
; expressions. Focused Expression Coverage data is provided by default when
395
; expression and/or condition coverage is active.
396
; CoverFEC = 0
397
 
398
; Enable or disable UDP Coverage analysis for conditions and expressions.
399
; UDP Coverage data is disabled by default when expression and/or condition
400
; coverage is active.
401
; CoverUDP = 1
402
 
403
; Enable or disable short circuit evaluation of conditions and expressions when
404
; condition or expression coverage is active. Short circuit evaluation is enabled
405
; by default.
406
; CoverShortCircuit = 0
407
 
408
 
409
; Turn on code coverage in VLOG `celldefine modules, modules containing
410
; specify blocks, and modules included using vlog -v and -y. Default is off.
411
; CoverCells = 1
412
 
413
; Enable code coverage reporting of code that has been optimized away.
414
; The default is not to report.
415
; CoverReportCancelled = 1
416
 
417
; Control compiler and VOPT optimizations that are allowed when
418
; code coverage is on. This is a number from 0 to 5, with the following
419
; meanings (the default is 3):
420
;    5 -- All allowable optimizations are on.
421
;    4 -- Turn off removing unreferenced code.
422
;    3 -- Turn off process, always block and if statement merging.
423
;    2 -- Turn off expression optimization, converting primitives
424
;         to continuous assignments, VHDL subprogram inlining.
425
;         and VHDL clkOpt (converting FF's to builtins).
426
;    1 -- Turn off continuous assignment optimizations and clock suppression.
427
;    0 -- Turn off Verilog module inlining and VHDL arch inlining.
428
; HOWEVER, if fsm coverage is turned on, optimizations will be forced to
429
; level 3, with also turning off converting primitives to continuous assigns.
430
; CoverOpt = 3
431
 
432
; Specify the override for the default value of "cross_num_print_missing"
433
; option for the Cross in Covergroups. If not specified then LRM default
434
; value of 0 (zero) is used. This is a compile time option.
435
; SVCrossNumPrintMissingDefault = 0
436
 
437
; Setting following to 1 would cause creation of variables which
438
; would represent the value of Coverpoint expressions. This is used
439
; in conjunction with "SVCoverpointExprVariablePrefix" option
440
; in the modelsim.ini
441
; EnableSVCoverpointExprVariable = 0
442
 
443
; Specify the override for the prefix used in forming the variable names
444
; which represent the Coverpoint expressions. This is used in conjunction with
445
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
446
; The default prefix is "expr".
447
; The variable name is
448
;    variable name => _
449
; SVCoverpointExprVariablePrefix = expr
450
 
451
; Override for the default value of the SystemVerilog covergroup,
452
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
453
; NOTE: It does not override specific assignments in SystemVerilog
454
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
455
; in the [vsim] section can override this value.
456
; SVCovergroupGoalDefault = 100
457
 
458
; Override for the default value of the SystemVerilog covergroup,
459
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
460
; NOTE: It does not override specific assignments in SystemVerilog
461
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
462
; in the [vsim] section can override this value.
463
; SVCovergroupTypeGoalDefault = 100
464
 
465
; Specify the override for the default value of "strobe" option for the
466
; Covergroup Type. This is a compile time option which forces "strobe" to
467
; a user specified default value and supersedes SystemVerilog specified
468
; default value of '0'(zero). NOTE: This can be overriden by a runtime
469
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
470
; SVCovergroupStrobeDefault = 0
471
 
472
; Specify the override for the default value of "merge_instances" option for
473
; the Covergroup Type. This is a compile time option which forces
474
; "merge_instances" to a user specified default value and supersedes
475
; SystemVerilog specified default value of '0'(zero).
476
; SVCovergroupMergeInstancesDefault = 0
477
 
478
; Specify the override for the default value of "per_instance" option for the
479
; Covergroup variables. This is a compile time option which forces "per_instance"
480
; to a user specified default value and supersedes SystemVerilog specified
481
; default value of '0'(zero).
482
; SVCovergroupPerInstanceDefault = 0
483
 
484
; Specify the override for the default value of "get_inst_coverage" option for the
485
; Covergroup variables. This is a compile time option which forces
486
; "get_inst_coverage" to a user specified default value and supersedes
487
; SystemVerilog specified default value of '0'(zero).
488
; SVCovergroupGetInstCoverageDefault = 0
489
 
490
;
491
; A space separated list of resource libraries that contain precompiled
492
; packages.  The behavior is identical to using the "-L" switch.
493
;
494
; LibrarySearchPath =  [ ...]
495
LibrarySearchPath = mtiAvm mtiOvm mtiUvm mtiUPF
496
 
497
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
498
; MixedAnsiPorts = 1
499
 
500
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
501
; EnableTypeOf = 1
502
 
503
; Only allow lower case pragmas. Default is disabled.
504
; AcceptLowerCasePragmaOnly = 1
505
 
506
; Set the maximum depth permitted for a recursive include file nesting.
507
; IncludeRecursionDepthMax = 5
508
 
509
; Turn ON detection of FSMs having single bit current state variable.
510
; FsmSingle = 1
511
 
512
; Turn off reset state transitions in FSM.
513
; FsmResetTrans = 0
514
 
515
; Turn off detections of FSMs having x-assignment.
516
; FsmXAssign = 0
517
 
518
; Turn ON detection of FSM Implicit Transitions.
519
; FsmImplicitTrans = 1
520
 
521
; List of file suffixes which will be read as SystemVerilog.  White space
522
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
523
; can be specified with two consecutive back-slashes: "\\";
524
; SVFileExtensions = sv svp svh
525
 
526
; This setting is the same as the vlog -sv command line switch.
527
; Enables SystemVerilog features and keywords when true (1).
528
; When false (0), the rules of IEEE Std 1364-2001 are followed and
529
; SystemVerilog keywords are ignored.
530
; Svlog = 0
531
 
532
; Prints attribute placed upon SV packages during package import
533
; when true (1).  The attribute will be ignored when this
534
; entry is false (0). The attribute name is "package_load_message".
535
; The value of this attribute is a string literal.
536
; Default is true (1).
537
; PrintSVPackageLoadingAttribute = 1
538
 
539
; Do not show immediate assertions with constant expressions in
540
; GUI/reports/UCDB etc. By default immediate assertions with constant
541
; expressions are shown in GUI/reports/UCDB etc. This does not affect
542
; evaluation of immediate assertions.
543
; ShowConstantImmediateAsserts = 0
544
 
545
; Controls if untyped parameters that are initialized with values greater
546
; than 2147483647 are mapped to generics of type INTEGER or ignored.
547
; If mapped to VHDL Integers, values greater than 2147483647
548
; are mapped to negative values.
549
; Default is to map these parameter to generic of type INTEGER
550
; ForceUnsignedToVHDLInteger = 1
551
 
552
; Enable AMS wreal (wired real) extensions.  Default is 0.
553
; WrealType = 1
554
 
555
; Controls SystemVerilog Language Extensions.  These options enable
556
; some non-LRM compliant behavior.  Valid extensions are "feci",
557
; "pae", "uslt" and "spsl".
558
; SVExtensions = uslt,spsl
559
 
560
[sccom]
561
; Enable use of SCV include files and library.  Default is off.
562
; UseScv = 1
563
 
564
; Add C++ compiler options to the sccom command line by using this variable.
565
; CppOptions = -g
566
 
567
; Use custom C++ compiler located at this path rather than the default path.
568
; The path should point directly at a compiler executable.
569
; CppPath = /usr/bin/g++
570
 
571
; Enable verbose messages from sccom.  Default is off.
572
; SccomVerbose = 1
573
 
574
; sccom logfile.  Default is no logfile.
575
; SccomLogfile = sccom.log
576
 
577
; Enable use of SC_MS include files and library.  Default is off.
578
; UseScMs = 1
579
 
580
[vopt]
581
; Turn on code coverage in vopt.  Default is off.
582
; Coverage = sbceft
583
 
584
; Control compiler optimizations that are allowed when
585
; code coverage is on.  Refer to the comment for this in the [vlog] area.
586
; CoverOpt = 3
587
 
588
; Increase or decrease the maximum number of rows allowed in a FEC table, implementing
589
; a condition coverage or expression coverage expression, by changing FecEffort.
590
; Higher FecEffort leads to a longer compile time, but more expressions covered.
591
; This is a number from 1 to 3, with the following meanings (the default is 1):
592
;    3 -- High FecEffort, Allows large expressions to be covered, will cause longer compile time.
593
;    2 -- Medium FecEffort, Allows more number of inputs per expression than Low FecEffort to be covered.
594
;    1 -- Low FecEffort, Covers only small expressions or conditions and skips larger ones.
595
; FecEffort = 2
596
 
597
; Enable code coverage reporting of code that has been optimized away.
598
; The default is not to report.
599
; CoverReportCancelled = 1
600
 
601
; Do not show immediate assertions with constant expressions in
602
; GUI/reports/UCDB etc. By default immediate assertions with constant
603
; expressions are shown in GUI/reports/UCDB etc. This does not affect
604
; evaluation of immediate assertions.
605
; ShowConstantImmediateAsserts = 0
606
 
607
; Set the maximum number of iterations permitted for a generate loop.
608
; Restricting this permits the implementation to recognize infinite
609
; generate loops.
610
; GenerateLoopIterationMax = 100000
611
 
612
; Set the maximum depth permitted for a recursive generate instantiation.
613
; Restricting this permits the implementation to recognize infinite
614
; recursions.
615
; GenerateRecursionDepthMax = 200
616
 
617
; Set the number of processes created during the code generation phase.
618
; By default a heuristic is used to set this value.  This may be set to 0
619
; to disable this feature completely.
620
; ParallelJobs = 0
621
 
622
; Controls SystemVerilog Language Extensions.  These options enable
623
; some non-LRM compliant behavior.  Valid extensions are "feci",
624
; "pae", "uslt" and "spsl".
625
; SVExtensions = uslt,spsl
626
 
627
[vsim]
628
; vopt flow
629
; Set to turn on automatic optimization of a design.
630
; Default is on
631
VoptFlow = 1
632
 
633
; Simulator resolution
634
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
635
Resolution = ns
636
 
637
; Disable certain code coverage exclusions automatically.
638
; Assertions and FSM are exluded from the code coverage by default
639
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
640
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
641
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
642
; Or specify comma or space separated list
643
;AutoExclusionsDisable = fsm,assertions
644
 
645
; User time unit for run commands
646
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
647
; unit specified for Resolution. For example, if Resolution is 100ps,
648
; then UserTimeUnit defaults to ps.
649
; Should generally be set to default.
650
UserTimeUnit = default
651
 
652
; Default run length
653
RunLength = 100 ns
654
 
655
; Maximum iterations that can be run without advancing simulation time
656
IterationLimit = 5000
657
 
658
; Control PSL and Verilog Assume directives during simulation
659
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
660
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
661
; SimulateAssumeDirectives = 1
662
 
663
; Control the simulation of PSL and SVA
664
; These switches can be overridden by the vsim command line switches:
665
;    -psl, -nopsl, -sva, -nosva.
666
; Set SimulatePSL = 0 to disable PSL simulation
667
; Set SimulatePSL = 1 to enable PSL simulation (default)
668
; SimulatePSL = 1
669
; Set SimulateSVA = 0 to disable SVA simulation
670
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
671
; SimulateSVA = 1
672
 
673
; Control SVA and VHDL immediate assertion directives during simulation
674
; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts
675
; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts
676
; SimulateImmedAsserts = 1
677
 
678
; Directives to license manager can be set either as single value or as
679
; space separated multi-values:
680
; vhdl          Immediately reserve a VHDL license
681
; vlog          Immediately reserve a Verilog license
682
; plus          Immediately reserve a VHDL and Verilog license
683
; noqueue       Do not wait in the license queue when a license is not available
684
; viewsim       Try for viewer license but accept simulator license(s) instead
685
;               of queuing for viewer license (PE ONLY)
686
; noviewer      Disable checkout of msimviewer and vsim-viewer license
687
;               features (PE ONLY)
688
; noslvhdl      Disable checkout of qhsimvh and vsim license features
689
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
690
; nomix         Disable checkout of msimhdlmix and hdlmix license features
691
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
692
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
693
;               features
694
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
695
;               hdlmix license features
696
; Single value:
697
; License = plus
698
; Multi-value:
699
; License = noqueue plus
700
 
701
; Severity level of a VHDL assertion message or of a SystemVerilog immediate assertion
702
; which will cause a running simulation to stop.
703
; VHDL assertions and SystemVerilog immediate assertions that occur with the
704
; given severity or higher will cause a running simulation to stop.
705
; This value is ignored during elaboration.
706
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
707
BreakOnAssertion = 3
708
 
709
; The class debug feature enables more visibility and tracking of class instances
710
; during simulation.  By default this feature is 0 (disabled).  To enable this
711
; feature set ClassDebug to 1.
712
; ClassDebug = 1
713
 
714
; Message Format conversion specifications:
715
; %S - Severity Level of message/assertion
716
; %R - Text of message
717
; %T - Time of message
718
; %D - Delta value (iteration number) of Time
719
; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
720
; %i - Instance/Region/Signal pathname with Process name (if available)
721
; %I - shorthand for one of these:
722
;      "  %K: %i"
723
;      "  %K: %i File: %F" (when path is not Process or Signal)
724
;      except that the %i in this case does not report the Process name
725
; %O - Process name
726
; %P - Instance/Region path without leaf process
727
; %F - File name
728
; %L - Line number; if assertion message, then line number of assertion or, if
729
;      assertion is in a subprogram, line from which the call is made
730
; %u - Design unit name in form library.primary
731
; %U - Design unit name in form library.primary(secondary)
732
; %% - The '%' character itself
733
;
734
; If specific format for Severity Level is defined, use that format.
735
; Else, for a message that occurs during elaboration:
736
;   -- Failure/Fatal message in VHDL region that is not a Process, and in
737
;      certain non-VHDL regions, uses MessageFormatBreakLine;
738
;   -- Failure/Fatal message otherwise uses MessageFormatBreak;
739
;   -- Note/Warning/Error message uses MessageFormat.
740
; Else, for a message that occurs during runtime and triggers a breakpoint because
741
; of the BreakOnAssertion setting:
742
;   -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
743
;   -- otherwise uses MessageFormatBreak.
744
; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
745
;
746
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
747
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
748
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
749
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
750
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
751
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
752
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
753
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
754
 
755
; Error File - alternate file for storing error messages
756
; ErrorFile = error.log
757
 
758
; Simulation Breakpoint messages
759
; This flag controls the display of function names when reporting the location
760
; where the simulator stops because of a breakpoint or fatal error.
761
; Example with function name:    # Break in Process ctr at counter.vhd line 44
762
; Example without function name: # Break at counter.vhd line 44
763
; Default value is 1.
764
ShowFunctions = 1
765
 
766
; Default radix for all windows and commands.
767
; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned
768
; Flags may be one of: enumnumeric, showbase
769
DefaultRadix = symbolic
770
;DefaultRadixFlags = showbase
771
 
772
; VSIM Startup command
773
; Startup = do startup.do
774
 
775
; VSIM Shutdown file
776
; Filename to save u/i formats and configurations.
777
; ShutdownFile = restart.do
778
; To explicitly disable auto save:
779
; ShutdownFile = --disable-auto-save
780
 
781
; File for saving command transcript
782
TranscriptFile = transcript
783
 
784
; File for saving command history
785
; CommandHistory = cmdhist.log
786
 
787
; Specify whether paths in simulator commands should be described
788
; in VHDL or Verilog format.
789
; For VHDL, PathSeparator = /
790
; For Verilog, PathSeparator = .
791
; Must not be the same character as DatasetSeparator.
792
PathSeparator = /
793
 
794
; Specify the dataset separator for fully rooted contexts.
795
; The default is ':'. For example: sim:/top
796
; Must not be the same character as PathSeparator.
797
DatasetSeparator = :
798
 
799
; Specify a unique path separator for the Signal Spy set of functions.
800
; The default will be to use the PathSeparator variable.
801
; Must not be the same character as DatasetSeparator.
802
; SignalSpyPathSeparator = /
803
 
804
; Used to control parsing of HDL identifiers input to the tool.
805
; This includes CLI commands, vsim/vopt/vlog/vcom options,
806
; string arguments to FLI/VPI/DPI calls, etc.
807
; If set to 1, accept either Verilog escaped Id syntax or
808
; VHDL extended id syntax, regardless of source language.
809
; If set to 0, the syntax of the source language must be used.
810
; Each identifier in a hierarchical name may need different syntax,
811
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
812
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
813
; GenerousIdentifierParsing = 1
814
 
815
; Disable VHDL assertion messages
816
; IgnoreNote = 1
817
; IgnoreWarning = 1
818
; IgnoreError = 1
819
; IgnoreFailure = 1
820
 
821
; Disable SystemVerilog assertion messages
822
; IgnoreSVAInfo = 1
823
; IgnoreSVAWarning = 1
824
; IgnoreSVAError = 1
825
; IgnoreSVAFatal = 1
826
 
827
; Do not print any additional information from Severity System tasks.
828
; Only the message provided by the user is printed along with severity
829
; information.
830
; SVAPrintOnlyUserMessage = 1;
831
 
832
; Default force kind. May be freeze, drive, deposit, or default
833
; or in other terms, fixed, wired, or charged.
834
; A value of "default" will use the signal kind to determine the
835
; force kind, drive for resolved signals, freeze for unresolved signals
836
; DefaultForceKind = freeze
837
 
838
; Control the iteration of events when a VHDL signal is forced to a value
839
; This flag can be set to honour the signal update event in next iteration,
840
; the default is to update and propagate in the same iteration.
841
; ForceSigNextIter = 1
842
 
843
 
844
; If zero, open files when elaborated; otherwise, open files on
845
; first read or write.  Default is 0.
846
; DelayFileOpen = 1
847
 
848
; Control VHDL files opened for write.
849
;   0 = Buffered, 1 = Unbuffered
850
UnbufferedOutput = 0
851
 
852
; Control the number of VHDL files open concurrently.
853
; This number should always be less than the current ulimit
854
; setting for max file descriptors.
855
;   0 = unlimited
856
ConcurrentFileLimit = 40
857
 
858
; Control the number of hierarchical regions displayed as
859
; part of a signal name shown in the Wave window.
860
; A value of zero tells VSIM to display the full name.
861
; The default is 0.
862
; WaveSignalNameWidth = 0
863
 
864
; Turn off warnings when changing VHDL constants and generics
865
; Default is 1 to generate warning messages
866
; WarnConstantChange = 0
867
 
868
; Turn off warnings from accelerated versions of the std_logic_arith,
869
; std_logic_unsigned, and std_logic_signed packages.
870
; StdArithNoWarnings = 1
871
 
872
; Turn off warnings from accelerated versions of the IEEE numeric_std
873
; and numeric_bit packages.
874
; NumericStdNoWarnings = 1
875
 
876
; Use old-style (pre-6.6) VHDL FOR generate statement iteration names
877
; in the design hierarchy.
878
; This style is controlled by the value of the GenerateFormat
879
; value described next.  Default is to use new-style names, which
880
; comprise the generate statement label, '(', the value of the generate
881
; parameter, and a closing ')'.
882
; Uncomment this to use old-style names.
883
; OldVhdlForGenNames = 1
884
 
885
; Control the format of the old-style VHDL FOR generate statement region
886
; name for each iteration.  Do not quote it.
887
; The format string here must contain the conversion codes %s and %d,
888
; in that order, and no other conversion codes.  The %s represents
889
; the generate statement label; the %d represents the generate parameter value
890
; at a particular iteration (this is the position number if the generate parameter
891
; is of an enumeration type).  Embedded whitespace is allowed (but discouraged);
892
; leading and trailing whitespace is ignored.
893
; Application of the format must result in a unique region name over all
894
; loop iterations for a particular immediately enclosing scope so that name
895
; lookup can function properly.  The default is %s__%d.
896
; GenerateFormat = %s__%d
897
 
898
; Enable changes in VHDL elaboration to allow for Variable Logging
899
; This trades off simulation performance for the ability to log variables
900
; efficiently.  By default this is disable for maximum simulation performance
901
; VhdlVariableLogging = 1
902
 
903
; Specify whether checkpoint files should be compressed.
904
; The default is 1 (compressed).
905
; CheckpointCompressMode = 0
906
 
907
; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
908
; Use custom gcc compiler located at this path rather than the default path.
909
; The path should point directly at a compiler executable.
910
; DpiCppPath = /bin/gcc
911
 
912
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
913
; The term "out-of-the-blue" refers to SystemVerilog export function calls
914
; made from C functions that don't have the proper context setup
915
; (as is the case when running under "DPI-C" import functions).
916
; When this is enabled, one can call a DPI export function
917
; (but not task) from any C code.
918
; the setting of this variable can be one of the following values:
919
; 0 : dpioutoftheblue call is disabled (default)
920
; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
921
; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
922
; DpiOutOfTheBlue = 1
923
 
924
; Specify whether continuous assignments are run before other normal priority
925
; processes scheduled in the same iteration. This event ordering minimizes race
926
; differences between optimized and non-optimized designs, and is the default
927
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
928
; ImmediateContinuousAssign to 0.
929
; The default is 1 (enabled).
930
; ImmediateContinuousAssign = 0
931
 
932
; List of dynamically loaded objects for Verilog PLI applications
933
; Veriuser = veriuser.sl
934
 
935
; Which default VPI object model should the tool conform to?
936
; The 1364 modes are Verilog-only, for backwards compatibility with older
937
; libraries, and SystemVerilog objects are not available in these modes.
938
;
939
; In the absence of a user-specified default, the tool default is the
940
; latest available LRM behavior.
941
; Options for PliCompatDefault are:
942
;  VPI_COMPATIBILITY_VERSION_1364v1995
943
;  VPI_COMPATIBILITY_VERSION_1364v2001
944
;  VPI_COMPATIBILITY_VERSION_1364v2005
945
;  VPI_COMPATIBILITY_VERSION_1800v2005
946
;  VPI_COMPATIBILITY_VERSION_1800v2008
947
;
948
; Synonyms for each string are also recognized:
949
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
950
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
951
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
952
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
953
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
954
 
955
 
956
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
957
 
958
; Specify whether the Verilog system task $fopen or vpi_mcd_open()
959
; will create directories that do not exist when opening the file
960
; in "a" or "w" mode.
961
; The default is 0 (do not create non-existent directories)
962
; CreateDirForFileAccess = 1
963
 
964
; Specify default options for the restart command. Options can be one
965
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
966
; DefaultRestartOptions = -force
967
 
968
 
969
; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used.
970
; Valid options include: all, none, verbose, disable, struct, msglog, trlog, certe.
971
; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-".
972
; The list of options must be delimited by commas, without spaces or tabs.
973
; The default is UVMControl = struct
974
 
975
; Some examples
976
; To turn on all available UVM-aware debug features:
977
; UVMControl = all
978
; To turn on the struct window, mesage logging, and transaction logging:
979
; UVMControl = struct,msglog,trlog
980
; To turn on all options except certe:
981
; UVMControl = all,-certe
982
; To completely disable all UVM-aware debug functionality:
983
; UVMControl = disable
984
 
985
 
986
; Turn on (1) or off (0) WLF file compression.
987
; The default is 1 (compress WLF file).
988
; WLFCompress = 0
989
 
990
; Specify whether to save all design hierarchy (1) in the WLF file
991
; or only regions containing logged signals (0).
992
; The default is 0 (save only regions with logged signals).
993
; WLFSaveAllRegions = 1
994
 
995
; WLF file time limit.  Limit WLF file by time, as closely as possible,
996
; to the specified amount of simulation time.  When the limit is exceeded
997
; the earliest times get truncated from the file.
998
; If both time and size limits are specified the most restrictive is used.
999
; UserTimeUnits are used if time units are not specified.
1000
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
1001
; WLFTimeLimit = 0
1002
 
1003
; WLF file size limit.  Limit WLF file size, as closely as possible,
1004
; to the specified number of megabytes.  If both time and size limits
1005
; are specified then the most restrictive is used.
1006
; The default is 0 (no limit).
1007
; WLFSizeLimit = 1000
1008
 
1009
; Specify whether or not a WLF file should be deleted when the
1010
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
1011
; The default is 0 (do not delete WLF file when simulation ends).
1012
; WLFDeleteOnQuit = 1
1013
 
1014
; Specify whether or not a WLF file should be optimized during
1015
; simulation.  If set to 0, the WLF file will not be optimized.
1016
; The default is 1, optimize the WLF file.
1017
; WLFOptimize = 0
1018
 
1019
; Specify the name of the WLF file.
1020
; The default is vsim.wlf
1021
; WLFFilename = vsim.wlf
1022
 
1023
; Specify whether to lock the WLF file.
1024
; Locking the file prevents other invocations of ModelSim/Questa tools from
1025
; inadvertently overwriting the WLF file.
1026
; The default is 1, lock the WLF file.
1027
; WLFFileLock = 0
1028
 
1029
; Specify the update interval for the WLF file.
1030
; Value is the number of seconds between updated.  After at least the
1031
; interval number of seconds, the wlf file is flushed, ensuring that the data
1032
; is correct when viewed from a separate live viewer.  Setting to 0 means no
1033
; updating.  Default is 10 seconds, which has a tiny performance impact
1034
; WLFUpdateInterval = 10
1035
 
1036
; Specify the WLF reader cache size limit for each open WLF file.
1037
; The size is giving in megabytes.  A value of 0 turns off the
1038
; WLF cache.
1039
; WLFSimCacheSize allows a different cache size to be set for
1040
; simulation WLF file independent of post-simulation WLF file
1041
; viewing.  If WLFSimCacheSize is not set it defaults to the
1042
; WLFCacheSize setting.
1043
; The default WLFCacheSize setting is enabled to 2000M per open WLF file.
1044
; WLFCacheSize = 2000
1045
; WLFSimCacheSize = 500
1046
 
1047
; Specify the WLF file event collapse mode.
1048
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
1049
; 1 = Only record values of logged objects at the end of a simulator iteration.
1050
;     (same as -wlfcollapsedelta)
1051
; 2 = Only record values of logged objects at the end of a simulator time step.
1052
;     (same as -wlfcollapsetime)
1053
; The default is 1.
1054
; WLFCollapseMode = 0
1055
 
1056
; Specify whether WLF file logging can use threads on multi-processor machines
1057
; if 0, no threads will be used, if 1, threads will be used if the system has
1058
; more than one processor
1059
; WLFUseThreads = 1
1060
 
1061
; Specify the relative size of logged objects that will trigger "large object"
1062
; messages at log/wave/list time.  This size value is an approximation of
1063
; the number of bytes needed to store the value of the object before compression
1064
; and optimization.
1065
; The default LargeObjectSize size is 500k
1066
; LargeObjectSize = 500000
1067
 
1068
; Specify whether to output "large object" warning messages.
1069
; The default is 0 which means the warning messages will come out.
1070
; LargeObjectSilent = 0
1071
 
1072
; Turn on/off undebuggable SystemC type warnings. Default is on.
1073
; ShowUndebuggableScTypeWarning = 0
1074
 
1075
; Turn on/off unassociated SystemC name warnings. Default is off.
1076
; ShowUnassociatedScNameWarning = 1
1077
 
1078
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
1079
; ScShowIeeeDeprecationWarnings = 1
1080
 
1081
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
1082
; ScEnableScSignalWriteCheck = 1
1083
 
1084
; Set SystemC default time unit.
1085
; Set to fs, ps, ns, us, ms, or sec with optional
1086
; prefix of 1, 10, or 100.  The default is 1 ns.
1087
; The ScTimeUnit value is honored if it is coarser than Resolution.
1088
; If ScTimeUnit is finer than Resolution, it is set to the value
1089
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
1090
; then the default time unit will be 1 ns.  However if Resolution
1091
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
1092
ScTimeUnit = ns
1093
 
1094
; Set SystemC sc_main stack size. The stack size is set as an integer
1095
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
1096
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
1097
; on the amount of data on the sc_main() stack and the memory required
1098
; to succesfully execute the longest function call chain of sc_main().
1099
ScMainStackSize = 10 Mb
1100
 
1101
; Turn on/off execution of remainder of sc_main upon quitting the current
1102
; simulation session. If the cumulative length of sc_main() in terms of
1103
; simulation time units is less than the length of the current simulation
1104
; run upon quit or restart, sc_main() will be in the middle of execution.
1105
; This switch gives the option to execute the remainder of sc_main upon
1106
; quitting simulation. The drawback of not running sc_main till the end
1107
; is memory leaks for objects created by sc_main. If on, the remainder of
1108
; sc_main will be executed ignoring all delays. This may cause the simulator
1109
; to crash if the code in sc_main is dependent on some simulation state.
1110
; Default is on.
1111
ScMainFinishOnQuit = 1
1112
 
1113
; Set the SCV relationship name that will be used to identify phase
1114
; relations.  If the name given to a transactor relation matches this
1115
; name, the transactions involved will be treated as phase transactions
1116
ScvPhaseRelationName = mti_phase
1117
 
1118
; Customize the vsim kernel shutdown behavior at the end of the simulation.
1119
; Some common causes of the end of simulation are $finish (implicit or explicit),
1120
; sc_stop(), tf_dofinish(), and assertion failures.
1121
; This should be set to "ask", "exit", or "stop". The default is "ask".
1122
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
1123
;            In GUI mode, a dialog box will pop up and ask for user confirmation
1124
;            whether or not to quit the simulation.
1125
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
1126
;            post-simulation tasks easier.
1127
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
1128
; "final" -- Run SystemVerilog final blocks then behave as "stop".
1129
; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
1130
OnFinish = ask
1131
 
1132
; Print pending deferred assertion messages.
1133
; Deferred assertion messages may be scheduled after the $finish in the same
1134
; time step. Deferred assertions scheduled to print after the $finish are
1135
; printed before exiting with severity level NOTE since it's not known whether
1136
; the assertion is still valid due to being printed in the active region
1137
; instead of the reactive region where they are normally printed.
1138
; OnFinishPendingAssert = 1;
1139
 
1140
; Print "simstats" result
1141
; 0 == do not print simstats
1142
; 1 == print at end of simulation
1143
; 2 == print at end of run
1144
; 3 == print at end of run and end of simulation
1145
; default == 0
1146
; PrintSimStats = 1
1147
 
1148
 
1149
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
1150
; AssertFile = assert.log
1151
 
1152
; Enable assertion counts. Default is off.
1153
; AssertionCover = 1
1154
 
1155
; Run simulator in assertion debug mode. Default is off.
1156
; AssertionDebug = 1
1157
 
1158
; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
1159
; AssertionEnable = 0
1160
 
1161
; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
1162
; Any positive integer, -1 for infinity.
1163
; AssertionLimit = 1
1164
 
1165
; Turn on/off concurrent assertion pass log. Default is off.
1166
; Assertion pass logging is only enabled when assertion is browseable
1167
; and assertion debug is enabled.
1168
; AssertionPassLog = 1
1169
 
1170
; Turn on/off PSL concurrent assertion fail log. Default is on.
1171
; The flag does not affect SVA
1172
; AssertionFailLog = 0
1173
 
1174
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
1175
; AssertionFailLocalVarLog = 0
1176
 
1177
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
1178
; 0 = Continue  1 = Break  2 = Exit
1179
; AssertionFailAction = 1
1180
 
1181
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
1182
; AssertionActiveThreadMonitor = 1
1183
 
1184
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
1185
; AssertionActiveThreadMonitorLimit = 5
1186
 
1187
; Assertion thread limit after which assertion would be killed/switched off.
1188
; The default is -1 (unlimited). If the number of threads for an assertion go
1189
; beyond this limit, the assertion would be either switched off or killed. This
1190
; limit applies to only assert directives.
1191
;AssertionThreadLimit = -1
1192
 
1193
; Action to be taken once the assertion thread limit is reached. Default
1194
; is kill. It can have a value of off or kill. In case of kill, all the existing
1195
; threads are terminated and no new attempts are started. In case of off, the
1196
; existing attempts keep on evaluating but no new attempts are started. This
1197
; variable applies to only assert directives.
1198
;AssertionThreadLimitAction = kill
1199
 
1200
; Cover thread limit after which cover would be killed/switched off.
1201
; The default is -1 (unlimited). If the number of threads for a cover go
1202
; beyond this limit, the cover would be either switched off or killed. This
1203
; limit applies to only cover directives.
1204
;CoverThreadLimit = -1
1205
 
1206
; Action to be taken once the cover thread limit is reached. Default
1207
; is kill. It can have a value of off or kill. In case of kill, all the existing
1208
; threads are terminated and no new attempts are started. In case of off, the
1209
; existing attempts keep on evaluating but no new attempts are started. This
1210
; variable applies to only cover directives.
1211
;CoverThreadLimitAction = kill
1212
 
1213
 
1214
; By default immediate assertions do not participate in Assertion Coverage calculations
1215
; unless they are executed.  This switch causes all immediate assertions in the design
1216
; to participate in Assertion Coverage calculations, whether attempted or not.
1217
; UnattemptedImmediateAssertions = 0
1218
 
1219
; By default immediate covers participate in Coverage calculations
1220
; whether they are attempted or not. This switch causes all unattempted
1221
; immediate covers in the design to stop participating in Coverage
1222
; calculations.
1223
; UnattemptedImmediateCovers = 0
1224
 
1225
; By default pass action block is not executed for assertions on vacuous
1226
; success. The following variable is provided to enable execution of
1227
; pass action block on vacuous success. The following variable is only effective
1228
; if the user does not disable pass action block execution by using either
1229
; system tasks or CLI. Also there is a performance penalty for enabling
1230
; the following variable.
1231
;AssertionEnableVacuousPassActionBlock = 1
1232
 
1233
; As per strict 1850-2005 PSL LRM, an always property can either pass
1234
; or fail. However, by default, Questa reports multiple passes and
1235
; multiple fails on top always/never property (always/never operator
1236
; is the top operator under Verification Directive). The reason
1237
; being that Questa reports passes and fails on per attempt of the
1238
; top always/never property. Use the following flag to instruct
1239
; Questa to strictly follow LRM. With this flag, all assert/never
1240
; directives will start an attempt once at start of simulation.
1241
; The attempt can either fail, match or match vacuously.
1242
; For e.g. if always is the top operator under assert, the always will
1243
; keep on checking the property at every clock. If the property under
1244
; always fails, the directive will be considered failed and no more
1245
; checking will be done for that directive. A top always property,
1246
; if it does not fail, will show a pass at end of simulation.
1247
; The default value is '0' (i.e. zero is off). For example:
1248
; PslOneAttempt = 1
1249
 
1250
; Specify the number of clock ticks to represent infinite clock ticks.
1251
; This affects eventually!, until! and until_!. If at End of Simulation
1252
; (EOS) an active strong-property has not clocked this number of
1253
; clock ticks then neither pass or fail (vacuous match) is returned
1254
; else respective fail/pass is returned. The default value is '0' (zero)
1255
; which effectively does not check for clock tick condition. For example:
1256
; PslInfinityThreshold = 5000
1257
 
1258
; Control how many thread start times will be preserved for ATV viewing for a given assertion
1259
; instance.  Default is -1 (ALL).
1260
; ATVStartTimeKeepCount = -1
1261
 
1262
; Turn on/off code coverage
1263
; CodeCoverage = 0
1264
 
1265
; Count all code coverage condition and expression truth table rows that match.
1266
; CoverCountAll = 1
1267
 
1268
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
1269
; is to include them.
1270
; ToggleNoIntegers = 1
1271
 
1272
; Set the maximum number of values that are collected for toggle coverage of
1273
; VHDL integers. Default is 100;
1274
; ToggleMaxIntValues = 100
1275
 
1276
; Set the maximum number of values that are collected for toggle coverage of
1277
; Verilog real. Default is 100;
1278
; ToggleMaxRealValues = 100
1279
 
1280
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
1281
; for enumeration types. Default is to include them.
1282
; ToggleVlogIntegers = 0
1283
 
1284
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
1285
; for shortreal types. Default is to not include them.
1286
; ToggleVlogReal = 1
1287
 
1288
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
1289
; and VHDL arrays-of-arrays in toggle coverage.
1290
; Default is to not include them.
1291
; ToggleFixedSizeArray = 1
1292
 
1293
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
1294
; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
1295
; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
1296
; Default is 1024.
1297
; ToggleMaxFixedSizeArray = 1024
1298
 
1299
; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
1300
; one-dimensional packed vectors for toggle coverage. Default is 0.
1301
; TogglePackedAsVec = 0
1302
 
1303
; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
1304
; toggle coverage. Default is 0.
1305
; ToggleVlogEnumBits = 0
1306
 
1307
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
1308
; For unlimited width, set to 0.
1309
; ToggleWidthLimit = 128
1310
 
1311
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
1312
; reached this count, further activity on the bit is ignored. Default is 1.
1313
; For unlimited counts, set to 0.
1314
; ToggleCountLimit = 1
1315
 
1316
; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
1317
; Following is the toggle coverage calculation criteria based on extended toggle mode:
1318
; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
1319
; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
1320
; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
1321
; ExtendedToggleMode = 3
1322
 
1323
; Enable toggle statistics collection only for ports. Default is 0.
1324
; TogglePortsOnly = 1
1325
 
1326
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
1327
; CoverEnable = 0
1328
 
1329
; Turn on/off PSL/SVA cover log.  Default is off "0".
1330
; CoverLog = 1
1331
 
1332
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
1333
; CoverAtLeast = 2
1334
 
1335
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
1336
; Any positive integer, -1 for infinity.
1337
; CoverLimit = 1
1338
 
1339
; Specify the coverage database filename.
1340
; Default is "" (i.e. database is NOT automatically saved on close).
1341
; UCDBFilename = vsim.ucdb
1342
 
1343
; Specify the maximum limit for the number of Cross (bin) products reported
1344
; in XML and UCDB report against a Cross. A warning is issued if the limit
1345
; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
1346
; setting.
1347
; MaxReportRhsSVCrossProducts = 1000
1348
 
1349
; Specify the override for the "auto_bin_max" option for the Covergroups.
1350
; If not specified then value from Covergroup "option" is used.
1351
; SVCoverpointAutoBinMax = 64
1352
 
1353
; Specify the override for the value of "cross_num_print_missing"
1354
; option for the Cross in Covergroups. If not specified then value
1355
; specified in the "option.cross_num_print_missing" is used. This
1356
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1357
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1358
; specified in modelsim.ini.
1359
; SVCrossNumPrintMissing = 0
1360
 
1361
; Specify whether to use the value of "cross_num_print_missing"
1362
; option in report and GUI for the Cross in Covergroups. If not specified then
1363
; cross_num_print_missing is ignored for creating reports and displaying
1364
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1365
; UseSVCrossNumPrintMissing = 0
1366
 
1367
; Specify the threshold of Coverpoint wildcard bin value range size, above which
1368
; a warning will be triggered. The default is 4K -- 12 wildcard bits.
1369
; SVCoverpointWildCardBinValueSizeWarn = 4096
1370
 
1371
; Specify the override for the value of "strobe" option for the
1372
; Covergroup Type. If not specified then value in "type_option.strobe"
1373
; will be used. This is runtime option which forces "strobe" to
1374
; user specified value and supersedes user specified values in the
1375
; SystemVerilog Code. NOTE: This also overrides the compile time
1376
; default value override specified using "SVCovergroupStrobeDefault"
1377
; SVCovergroupStrobe = 0
1378
 
1379
; Override for explicit assignments in source code to "option.goal" of
1380
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1381
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1382
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1383
; SVCovergroupGoal = 100
1384
 
1385
; Override for explicit assignments in source code to "type_option.goal" of
1386
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1387
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1388
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1389
; SVCovergroupTypeGoal = 100
1390
 
1391
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1392
; builtin functions, and report. This setting changes the default values of
1393
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1394
; behavior if explicit assignments are not made on option.get_inst_coverage and
1395
; type_option.merge_instances by the user. There are two vsim command line
1396
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1397
; The default value of this variable from release 6.6 onwards is 0. This default
1398
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1399
; SVCovergroup63Compatibility = 0
1400
 
1401
; Enforce the 6.5 default behavior of covergroup get_coverage() builtin
1402
; functions, GUI, and report. This setting changes the default values of
1403
; type_option.merge_instances to ensure the 6.5 default behavior if explicit
1404
; assignments are not made on type_option.merge_instances by the user.
1405
; There are two vsim command line options, -cvgmergeinstances and
1406
; -nocvgmergeinstances to override this setting from vsim command line.
1407
; The default value of this variable from release 6.6 onwards is 0. This default
1408
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1409
; SvCovergroupMergeInstancesDefault = 1
1410
 
1411
; Enable or disable generation of more detailed information about the sampling
1412
; of covergroup, cross, and coverpoints. It provides the details of the number
1413
; of times the covergroup instance and type were sampled, as well as details
1414
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1415
; is to enable this feature. 0 is to disable this feature. Default is 0
1416
; SVCovergroupSampleInfo = 0
1417
 
1418
; Specify the maximum number of Coverpoint bins in whole design for
1419
; all Covergroups.
1420
; MaxSVCoverpointBinsDesign = 2147483648
1421
 
1422
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
1423
; MaxSVCoverpointBinsInst = 2147483648
1424
 
1425
; Specify the maximum number of Cross bins in whole design for
1426
; all Covergroups.
1427
; MaxSVCrossBinsDesign = 2147483648
1428
 
1429
; Specify maximum number of Cross bins in any instance of a Covergroup
1430
; MaxSVCrossBinsInst = 2147483648
1431
 
1432
; Specify whether vsim will collect the coverage data of zero-weight coverage items or not.
1433
; By default, this variable is set 0, in which case option.no_collect setting will take effect.
1434
; If this variable is set to 1, all zero-weight coverage items will not be saved.
1435
; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting
1436
; of this variable.
1437
; CvgZWNoCollect = 1
1438
 
1439
; Specify a space delimited list of double quoted TCL style
1440
; regular expressions which will be matched against the text of all messages.
1441
; If any regular expression is found to be contained within any message, the
1442
; status for that message will not be propagated to the UCDB TESTSTATUS.
1443
; If no match is detected, then the status will be propagated to the
1444
; UCDB TESTSTATUS. More than one such regular expression text is allowed,
1445
; and each message text is compared for each regular expression in the list.
1446
; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message"
1447
 
1448
; Set weight for all PSL/SVA cover directives.  Default is 1.
1449
; CoverWeight = 2
1450
 
1451
; Check vsim plusargs.  Default is 0 (off).
1452
; 0 = Don't check plusargs
1453
; 1 = Warning on unrecognized plusarg
1454
; 2 = Error and exit on unrecognized plusarg
1455
; CheckPlusargs = 1
1456
 
1457
; Load the specified shared objects with the RTLD_GLOBAL flag.
1458
; This gives global visibility to all symbols in the shared objects,
1459
; meaning that subsequently loaded shared objects can bind to symbols
1460
; in the global shared objects.  The list of shared objects should
1461
; be whitespace delimited.  This option is not supported on the
1462
; Windows or AIX platforms.
1463
; GlobalSharedObjectList = example1.so example2.so example3.so
1464
 
1465
; Run the 0in tools from within the simulator.
1466
; Default is off.
1467
; ZeroIn = 1
1468
 
1469
; Set the options to be passed to the 0in runtime tool.
1470
; Default value set to "".
1471
; ZeroInOptions = ""
1472
 
1473
; Initial seed for the random number generator of the root thread (SystemVerilog).
1474
; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
1475
; The default value is 0.
1476
; Sv_Seed = 0
1477
 
1478
; Specify the solver "engine" that vsim will select for constrained random
1479
; generation.
1480
; Valid values are:
1481
;    "auto" - automatically select the best engine for the current
1482
;             constraint scenario
1483
;    "bdd"  - evaluate all constraint scenarios using the BDD solver engine
1484
;    "act"  - evaluate all constraint scenarios using the ACT solver engine
1485
; While the BDD solver engine is generally efficient with constraint scenarios
1486
; involving bitwise logical relationships, the ACT solver engine can exhibit
1487
; superior performance with constraint scenarios involving large numbers of
1488
; random variables related via arithmetic operators (+, *, etc).
1489
; NOTE: This variable can be overridden with the vsim "-solveengine" command
1490
; line switch.
1491
; The default value is "auto".
1492
; SolveEngine = auto
1493
 
1494
; Specify if the solver should attempt to ignore overflow/underflow semantics
1495
; for arithmetic constraints (multiply, addition, subtraction) in order to
1496
; improve performance. The "solveignoreoverflow" attribute can be specified on
1497
; a per-call basis to randomize() to override this setting.
1498
; The default value is 0 (overflow/underflow is not ignored). Set to 1 to
1499
; ignore overflow/underflow.
1500
; SolveIgnoreOverflow = 0
1501
 
1502
; Specifies the maximum size that a dynamic array may be resized to by the
1503
; solver. If the solver attempts to resize a dynamic array to a size greater
1504
; than the specified limit, the solver will abort with an error.
1505
; The default value is 2000. A value of 0 indicates no limit.
1506
; SolveArrayResizeMax = 2000
1507
 
1508
; Error message severity when randomize() failure is detected (SystemVerilog).
1509
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1510
; The default is 0 (no error).
1511
; SolveFailSeverity = 0
1512
 
1513
; Enable/disable debug information for randomize() failures.
1514
; NOTE: This variable can be overridden with the vsim "-solvefaildbug" command
1515
; line switch.
1516
; The default is 0 (disabled). Set to 1 to enable.
1517
; SolveFailDebug = 0
1518
 
1519
; Specify the maximum size of the solution graph generated by the BDD solver.
1520
; This value can be used to force the BDD solver to abort the evaluation of a
1521
; complex constraint scenario that cannot be evaluated with finite memory.
1522
; This value is specified in 1000s of nodes.
1523
; The default value is 10000. A value of 0 indicates no limit.
1524
; SolveGraphMaxSize = 10000
1525
 
1526
; Specify the maximum number of evaluations that may be performed on the
1527
; solution graph by the BDD solver. This value can be used to force the BDD
1528
; solver to abort the evaluation of a complex constraint scenario that cannot
1529
; be evaluated in finite time. This value is specified in 10000s of evaluations.
1530
; The default value is 10000. A value of 0 indicates no limit.
1531
; SolveGraphMaxEval = 10000
1532
 
1533
; Specify the maximum number of tests that the ACT solver may evaluate before
1534
; abandoning an attempt to solve a particular constraint scenario.
1535
; The default value is 2000000.  A value of 0 indicates no limit.
1536
; SolveACTMaxTests = 2000000
1537
 
1538
; Specify the maximum number of operations that the ACT solver may perform
1539
; before abandoning an attempt to solve a particular constraint scenario.  The
1540
; value is specified in 1000000s of operations.
1541
; The default value is 10000. A value of 0 indicates no limit.
1542
; SolveACTMaxOps = 10000
1543
 
1544
; Specify the number of times the ACT solver will retry to evaluate a constraint
1545
; scenario that fails due to the SolveACTMaxTests threshold.
1546
; The default value is 0 (no retry).
1547
; SolveACTRetryCount = 0
1548
 
1549
; SolveSpeculateLevel controls whether or not the solver performs speculation
1550
; during the evaluation of a constraint scenario.
1551
; Speculation is an attempt to partition complex constraint scenarios by
1552
; choosing a 'speculation' subset of the variables and constraints.  This
1553
; 'speculation' set is solved independently of the remaining constraints.
1554
; The solver then attempts to solve the remaining variables and constraints
1555
; (the 'dependent' set).  If this attempt fails, the solver backs up and
1556
; re-solves the 'speculation' set, then retries the 'dependent' set.
1557
; Valid values are:
1558
;    0 - no speculation
1559
;    1 - enable speculation that maintains LRM specified distribution
1560
;    2 - enable other speculation - may yield non-LRM distribution
1561
; Currently, distribution constraints and solve-before constraints are
1562
; used in selecting the 'speculation' sets for speculation level 1. Non-LRM
1563
; compliant speculation includes random variables in condition expressions.
1564
; The default value is 0.
1565
; SolveSpeculateLevel = 0
1566
 
1567
; By default, when speculation is enabled, the solver first tries to solve a
1568
; constraint scenario *without* speculation. If the solver fails to evaluate
1569
; the constraint scenario (due to time/memory limits) then the solver will
1570
; re-evaluate the constraint scenario with speculation. If SolveSpeculateFirst
1571
; is set to 1, the solver will skip the initial non-speculative attempt to
1572
; evaluate the constraint scenario. (Only applies when SolveSpeculateLevel is
1573
; non-zero)
1574
; The default value is 0.
1575
; SolveSpeculateFirst = 0
1576
 
1577
; Specify the maximum bit width of a variable in a conditional expression that
1578
; may be considered as the basis for "conditional" speculation. (Only applies
1579
; when SolveSpeculateLevel=2)
1580
; The default value is 6.
1581
; SolveSpeculateMaxCondWidth = 6
1582
 
1583
; Specify the maximum number of attempts to solve a speculative set of random
1584
; variables and constraints. Exceeding this limit will cause the solver to
1585
; abandon the current speculative set. (Only applies when SolveSpeculateLevel
1586
; is non-zero)
1587
; The default value is 100.
1588
; SolveSpeculateMaxIterations = 100
1589
 
1590
; Specifies whether to attempt speculation on solve-before constraints or
1591
; distribution constraints first. A value of 0 specifies that solve-before
1592
; constraints are attempted first as the basis for speculative randomization.
1593
; A value of 1 specifies that distribution constraints are attempted first
1594
; as the basis for speculative randomization.
1595
; The default value is 0.
1596
; SolveSpeculateDistFirst = 0
1597
 
1598
; If the non-speculative BDD solver fails to evaluate a constraint scenario
1599
; (due to time/memory limits) then the solver can be instructed to automatically
1600
; re-evaluate the constraint scenario with the ACT solver engine. Set
1601
; SolveACTbeforeSpeculate to 1 to enable this feature.
1602
; The default value is 0 (do not re-evaluate with the ACT solver).
1603
; SolveACTbeforeSpeculate = 0
1604
 
1605
; Use SolveFlags to specify options that will guide the behavior of the
1606
; constraint solver. These options may improve the performance of the
1607
; constraint solver for some testcases, and decrease the performance of the
1608
; constraint solver for others.
1609
; Valid flags are:
1610
;    i = disable bit interleaving for >, >=, <, <= constraints (BDD engine)
1611
;    n = disable bit interleaving for all constraints (BDD engine)
1612
;    r = reverse bit interleaving (BDD engine)
1613
; The default value is "" (no options).
1614
; SolveFlags =
1615
 
1616
; Specify random sequence compatiblity with a prior letter release. This
1617
; option is used to get the same random sequences during simulation as
1618
; as a prior letter release. Only prior letter releases (of the current
1619
; number release) are allowed.
1620
; NOTE: Only those random sequence changes due to solver optimizations are
1621
; reverted by this variable. Random sequence changes due to solver bugfixes
1622
; cannot be un-done.
1623
; NOTE: This variable can be overridden with the vsim "-solverev" command
1624
; line switch.
1625
; Default value set to "" (no compatibility).
1626
; SolveRev =
1627
 
1628
; Environment variable expansion of command line arguments has been depricated
1629
; in favor shell level expansion.  Universal environment variable expansion
1630
; inside -f files is support and continued support for MGC Location Maps provide
1631
; alternative methods for handling flexible pathnames.
1632
; The following line may be uncommented and the value set to 1 to re-enable this
1633
; deprecated behavior.  The default value is 0.
1634
; DeprecatedEnvironmentVariableExpansion = 0
1635
 
1636
; Turn on/off collapsing of bus ports in VCD dumpports output
1637
DumpportsCollapse = 1
1638
 
1639
; Location of Multi-Level Verification Component (MVC) installation.
1640
; The default location is the product installation directory.
1641
MvcHome = $MODEL_TECH/..
1642
 
1643
; Initialize SystemVerilog enums using the base type's default value
1644
; instead of the leftmost value.
1645
; EnumBaseInit = 1
1646
 
1647
; Suppress file type registration.
1648
; SuppressFileTypeReg = 1
1649
 
1650
; Controls SystemVerilog Language Extensions.  These options enable
1651
; some non-LRM compliant behavior.  Valid extensions are "feci",
1652
; "pae", "uslt" and "spsl".
1653
; SVExtensions = uslt,spsl
1654
 
1655
[lmc]
1656
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
1657
libsm = $MODEL_TECH/libsm.sl
1658
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
1659
; libsm = $MODEL_TECH/libsm.dll
1660
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
1661
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
1662
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
1663
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
1664
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
1665
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1666
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1667
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1668
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1669
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1670
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1671
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1672
 
1673
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1674
libhm = $MODEL_TECH/libhm.sl
1675
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1676
; libhm = $MODEL_TECH/libhm.dll
1677
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1678
; libsfi = /lib/hp700/libsfi.sl
1679
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1680
; libsfi = /lib/rs6000/libsfi.a
1681
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1682
; libsfi = /lib/sun4.solaris/libsfi.so
1683
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1684
; libsfi = /lib/pcnt/lm_sfi.dll
1685
;  Logic Modeling's hardware modeler SFI software (Linux)
1686
; libsfi = /lib/linux/libsfi.so
1687
 
1688
[msg_system]
1689
; Change a message severity or suppress a message.
1690
; The format is:  = [,...]
1691
; suppress can be used to achieve +nowarn functionality
1692
; The format is: suppress = ,,[,,...]
1693
; Examples:
1694
suppress = 8780
1695
;   note = 3009
1696
;   warning = 3033
1697
;   error = 3010,3016
1698
;   fatal = 3016,3033
1699
;   suppress = 3009,3016,3043
1700
;   suppress = 3009,CNNODP,3043,TFMPC
1701
;   suppress = 8683,8684
1702
; The command verror  can be used to get the complete
1703
; description of a message.
1704
 
1705
; Control transcripting of Verilog display system task messages and
1706
; PLI/FLI print function call messages.  The system tasks include
1707
; $display[bho], $strobe[bho], $monitor[bho], and $write[bho].  They
1708
; also include the analogous file I/O tasks that write to STDOUT
1709
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
1710
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
1711
; is to have messages appear only in the transcript.  The other
1712
; settings are to send messages to the wlf file only (messages that
1713
; are recorded in the wlf file can be viewed in the MsgViewer) or
1714
; to both the transcript and the wlf file.  The valid values are
1715
;    tran  {transcript only (default)}
1716
;    wlf   {wlf file only}
1717
;    both  {transcript and wlf file}
1718
; displaymsgmode = tran
1719
 
1720
; Control transcripting of elaboration/runtime messages not
1721
; addressed by the displaymsgmode setting.  The default is to
1722
; have messages appear only in the transcript.  The other settings
1723
; are to send messages to the wlf file only (messages that are
1724
; recorded in the wlf file can be viewed in the MsgViewer) or to both
1725
; the transcript and the wlf file. The valid values are
1726
;    tran  {transcript only (default)}
1727
;    wlf   {wlf file only}
1728
;    both  {transcript and wlf file}
1729
; msgmode = tran
1730
[Project]
1731
; Warning -- Do not edit the project properties directly.
1732
;            Property names are dynamic in nature and property
1733
;            values have special syntax.  Changing property data directly
1734
;            can result in a corrupt MPF file.  All project properties
1735
;            can be modified through project window dialogs.
1736
Project_Version = 6
1737
Project_DefaultLib = work
1738
Project_SortMethod = unused
1739
Project_Files_Count = 0
1740
Project_Sim_Count = 0
1741
Project_Folder_Count = 0
1742
Echo_Compile_Output = 0
1743
Save_Compile_Report = 1
1744
Project_Opt_Count = 0
1745
ForceSoftPaths = 0
1746
ProjectStatusDelay = 5000
1747
VERILOG_DoubleClick = Edit
1748
VERILOG_CustomDoubleClick =
1749
SYSTEMVERILOG_DoubleClick = Edit
1750
SYSTEMVERILOG_CustomDoubleClick =
1751
VHDL_DoubleClick = Edit
1752
VHDL_CustomDoubleClick =
1753
PSL_DoubleClick = Edit
1754
PSL_CustomDoubleClick =
1755
TEXT_DoubleClick = Edit
1756
TEXT_CustomDoubleClick =
1757
SYSTEMC_DoubleClick = Edit
1758
SYSTEMC_CustomDoubleClick =
1759
TCL_DoubleClick = Edit
1760
TCL_CustomDoubleClick =
1761
MACRO_DoubleClick = Edit
1762
MACRO_CustomDoubleClick =
1763
VCD_DoubleClick = Edit
1764
VCD_CustomDoubleClick =
1765
SDF_DoubleClick = Edit
1766
SDF_CustomDoubleClick =
1767
XML_DoubleClick = Edit
1768
XML_CustomDoubleClick =
1769
LOGFILE_DoubleClick = Edit
1770
LOGFILE_CustomDoubleClick =
1771
UCDB_DoubleClick = Edit
1772
UCDB_CustomDoubleClick =
1773
UPF_DoubleClick = Edit
1774
UPF_CustomDoubleClick =
1775
PCF_DoubleClick = Edit
1776
PCF_CustomDoubleClick =
1777
PROJECT_DoubleClick = Edit
1778
PROJECT_CustomDoubleClick =
1779
VRM_DoubleClick = Edit
1780
VRM_CustomDoubleClick =
1781
DEBUGDATABASE_DoubleClick = Edit
1782
DEBUGDATABASE_CustomDoubleClick =
1783
DEBUGARCHIVE_DoubleClick = Edit
1784
DEBUGARCHIVE_CustomDoubleClick =
1785
Project_Major_Version = 10
1786
Project_Minor_Version = 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.