OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [src/] [ff_finish_decoding.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
---------------------------------------
18
--  ENTITY       = FF_FINISH_DECODING--
19
--  version      = 1.0               --
20
--  last update  = 21/08/00          --
21
--  author       = Jose Nunez        --
22
---------------------------------------
23
 
24
 
25
-- FUNCTION
26
-- out register
27
 
28
 
29
--  PIN LIST
30
--  FINISH_IN   = 1 bit input data
31
--  CLEAR = asynchronous clear of register
32
--  CLK   = clock
33
--  FINISH_OUT  = 1 bit output of flip-flops
34
 
35
 
36
 
37
library ieee,dzx;
38
use ieee.std_logic_1164.all;
39
use dzx.attributes.all;
40
 
41
entity FF_FINISH_DECODING is
42
port
43
(
44
      FINISH_IN : in bit;
45
        CLEAR : in bit ;
46
        RESET : in bit;
47
      CLK : in bit ;
48
        FINISH_OUT : out bit
49
 
50
);
51
 
52
 
53
end FF_FINISH_DECODING;
54
 
55
 
56
 
57
architecture LATCH of FF_FINISH_DECODING is
58
begin
59
 
60
FLIP_FLOPS : process (CLK,CLEAR)
61
begin
62
        -- asynchronous RESET signal forces all outputs LOW
63
        if (CLEAR = '0') then
64
                        FINISH_OUT <= '1';
65
            -- check for +ve clock edge
66
          elsif ((CLK'event) and (CLK = '1')) then
67
                        if (RESET = '0') then
68
                                                FINISH_OUT <= '1';
69
                        else
70
                                                        FINISH_OUT <= FINISH_IN;
71
                        end if;
72
          end if;
73
end process FLIP_FLOPS;
74
 
75
end LATCH;
76
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.