OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [src/] [lpm_ram_dp_mask.vhd] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 eejlny
--This library is free software; you can redistribute it and/or
2
--modify it under the terms of the GNU Lesser General Public
3
--License as published by the Free Software Foundation; either
4
--version 2.1 of the License, or (at your option) any later version.
5
 
6
--This library is distributed in the hope that it will be useful,
7
--but WITHOUT ANY WARRANTY; without even the implied warranty of
8
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
9
--Lesser General Public License for more details.
10
 
11
--You should have received a copy of the GNU Lesser General Public
12
--License along with this library; if not, write to the Free Software
13
--Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
14
 
15
-- e_mail : j.l.nunez-yanez@byacom.co.uk
16
 
17
 
18
LIBRARY ieee,alt_lpm;
19
USE ieee.std_logic_1164.all;
20
USE alt_lpm.lpm_components.all;
21
use work.tech_package.all;
22
 
23
 
24
ENTITY LPM_RAM_DP_MASK IS
25
        PORT
26
        (
27
          DATA : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
28
      RDADDRESS : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
29
      WRADDRESS : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
30
      WRCLKEN : IN STD_LOGIC;
31
          RDCLKEN : IN STD_LOGIC;
32
      RDEN : IN STD_LOGIC;
33
      WREN : IN STD_LOGIC;
34
      WRCLOCK :IN STD_LOGIC;
35
          RDCLOCK : IN STD_LOGIC;
36
      Q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0));
37
END LPM_RAM_DP_MASK;
38
 
39
 
40
ARCHITECTURE SYN OF LPM_RAM_DP_MASK IS
41
 
42
 
43
 
44
 
45
 
46
        component LPM_RAM_DP
47
      generic (LPM_WIDTH    : positive ;
48
               LPM_WIDTHAD  : positive;
49
               LPM_NUMWORDS : positive;
50
               LPM_INDATA   : string;
51
               LPM_RDADDRESS_CONTROL : string;
52
               LPM_WRADDRESS_CONTROL : string;
53
               LPM_OUTDATA  : string;
54
               LPM_TYPE     : string;
55
               LPM_FILE     : string;
56
               LPM_HINT     : string);
57
        port (RDCLOCK : in std_logic;
58
            RDCLKEN : in std_logic;
59
            RDADDRESS : in std_logic_vector(7 downto 0);
60
            RDEN : in std_logic;
61
            DATA : in std_logic_vector(3 downto 0);
62
            WRADDRESS : in std_logic_vector(7 downto 0);
63
            WREN : in std_logic;
64
            WRCLOCK : in std_logic;
65
            WRCLKEN : in std_logic;
66
            Q : out std_logic_vector(3 downto 0));
67
        end component;
68
 
69
-- TSMC DPRAM
70
 
71
  component ra2sh_256W_4B_8MX_offWRMSK_8WRGRAN
72
  port (
73
        CLKA: in std_logic;
74
        CENA: in std_logic;
75
        WENA: in std_logic;
76
        AA: in std_logic_vector(7 downto 0);
77
        DA: in std_logic_vector(3 downto 0);
78
        QA: out std_logic_vector(3 downto 0);
79
        CLKB: in std_logic;
80
        CENB: in std_logic;
81
        WENB: in std_logic;
82
        AB: in std_logic_vector(7 downto 0);
83
        DB: in std_logic_vector(3 downto 0);
84
        QB: out std_logic_vector(3 downto 0)
85
    );
86
 
87
    end component;
88
 
89
 
90
 
91
        signal tsmc_cena_n , tsmc_cenb_n : std_logic;
92
        signal tsmc_wena_n , tsmc_wenb_n : std_logic;
93
 
94
 
95
BEGIN
96
 
97
 
98
-- Altera memory
99
 
100
 
101
 
102
  ALT_RAM_MASK :
103
 
104
  if (not TSMC013) generate
105
 
106
        RDP_component : LPM_RAM_DP
107
        GENERIC MAP(LPM_WIDTH => 4,
108
              LPM_WIDTHAD  => 8,
109
              LPM_NUMWORDS => 256,
110
              LPM_OUTDATA  =>  "UNREGISTERED",
111
                          LPM_INDATA => "REGISTERED",
112
                LPM_RDADDRESS_CONTROL => "REGISTERED",
113
                LPM_WRADDRESS_CONTROL => "REGISTERED",
114
                LPM_FILE  => "UNUSED",
115
            LPM_TYPE  => "LPM_RAM_DP",
116
                LPM_HINT => "UNUSED")
117
    PORT MAP(DATA => DATA,
118
             RDADDRESS => RDADDRESS,
119
             WRADDRESS => WRADDRESS,
120
             WRCLKEN => WRCLKEN,
121
                 RDCLKEN => RDCLKEN,
122
             RDEN => RDEN,
123
             WREN => WREN,
124
             WRCLOCK => WRCLOCK,
125
                 RDCLOCK => RDCLOCK,
126
             Q => Q);
127
 
128
        end generate;
129
 
130
-- Port 1 = R
131
 
132
-- Port 2 = R/W
133
 
134
TSMC013_RAM_MASK :
135
 
136
  if (TSMC013) generate
137
 
138
  TMSC_RAM : ra2sh_256W_4B_8MX_offWRMSK_8WRGRAN port map
139
      (
140
        clka        =>      WRCLOCK,
141
        cena        =>      tsmc_cena_n ,
142
        wena        =>      tsmc_wena_n,
143
        aa          =>      RDADDRESS,
144
        da          =>      DATA,
145
        qa          =>      Q,
146
        clkb        =>      WRCLOCK,
147
        cenb        =>      tsmc_cenb_n,
148
        wenb        =>      tsmc_wenb_n,
149
        ab          =>      WRADDRESS,
150
        db          =>      DATA,
151
        qb          =>      OPEN
152
      ) ;
153
 
154
end generate;
155
 
156
 
157
 
158
tsmc_cenb_n <= not (WREN);
159
tsmc_cena_n <= not (RDEN);
160
tsmc_wena_n <='1';
161
 
162
--    not (RDEN_SB); Always in read-mode; read-enable used to
163
 
164
--    power-up ram
165
 
166
tsmc_wenb_n <= not (WREN);
167
 
168
 
169
 
170
 
171
 
172
END SYN;
173
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.