OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [src/] [system/] [S2LIB.vhdl] - Blame information for rev 31

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 31 lcdsgmtr
PACKAGE S2LIB IS
2
 
3
  COMPONENT S2ARB IS
4
    PORT (
5
      I_RD_ICC          : IN  STD_LOGIC;
6
      I_WR_ICC          : IN  STD_LOGIC;
7
      I_RD_DCC          : IN  STD_LOGIC;
8
      I_WR_DCC          : IN  STD_LOGIC;
9
      O_ADDRESS_MUX_SEL : OUT STD_LOGIC;
10
      O_ACK_ICC         : OUT STD_LOGIC;
11
      O_ACK_DCC         : OUT STD_LOGIC;
12
      CLK               : IN  STD_LOGIC;
13
      RST               : IN  STD_LOGIC);
14
  END COMPONENT S2ARB;
15
 
16
  COMPONENT S2ICC IS
17
    PORT (
18
      CLK               : IN  STD_LOGIC;
19
      RST               : IN  STD_LOGIC;
20
      O_ADDRESS         : OUT STD_LOGIC_VECTOR(14 DOWNTO 0);
21
      O_DATA            : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
22
      O_RD              : OUT STD_LOGIC;
23
      O_WR              : OUT STD_LOGIC;
24
      I_ACK             : IN  STD_LOGIC;
25
      I_ADDRESS         : IN  STD_LOGIC_VECTOR(14 DOWNTO 0);
26
      I_DATA            : IN  STD_LOGIC_VECTOR(15 DOWNTO 0);
27
      I_RD              : IN  STD_LOGIC;
28
      I_WR              : IN  STD_LOGIC;
29
      I_CPU_IF          : IN  STD_LOGIC;
30
      I_CPU_INSTR_ADDR  : IN  STD_LOGIC_VECTOR(14 DOWNTO 0);
31
      O_CPU_INSTRUCTION : OUT STD_LOGIC_VECTOR(15 DOWNTO 0));
32
  END COMPONENT S2ICC;
33
 
34
  COMPONENT S2DCC IS
35
    PORT (
36
      CLK             : IN  STD_LOGIC;
37
      RST             : IN  STD_LOGIC;
38
      O_ADDRESS       : OUT STD_LOGIC_VECTOR(14 DOWNTO 0);
39
      O_DATA          : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
40
      O_RD            : OUT STD_LOGIC;
41
      O_WR            : OUT STD_LOGIC;
42
      I_ACK           : IN  STD_LOGIC;
43
      I_ADDRESS       : IN  STD_LOGIC_VECTOR(14 DOWNTO 0);
44
      I_DATA          : IN  STD_LOGIC_VECTOR(15 DOWNTO 0);
45
      I_RD              : IN  STD_LOGIC;
46
      I_WR              : IN  STD_LOGIC;
47
      I_CPU_RD        : IN  STD_LOGIC;
48
      I_CPU_WR        : IN  STD_LOGIC;
49
      I_CPU_DATA_ADDR : IN  STD_LOGIC_VECTOR(14 DOWNTO 0);
50
      I_CPU_DATA      : IN  STD_LOGIC_VECTOR(15 DOWNTO 0);
51
      O_CPU_DATA      : OUT STD_LOGIC_VECTOR(15 DOWNTO 0));
52
  END COMPONENT S2DCC;
53
 
54
  COMPONENT S2CPU IS
55
    PORT (
56
      CLK           : IN  STD_LOGIC;
57
      RST           : IN  STD_LOGIC;
58
      O_IF          : OUT STD_LOGIC;
59
      O_INSTR_ADDR  : OUT STD_LOGIC_VECTOR(14 DOWNTO 0);
60
      I_INSTRUCTION : IN  STD_LOGIC_VECTOR(15 DOWNTO 0);
61
      O_RD          : OUT STD_LOGIC;
62
      O_WR          : OUT STD_LOGIC;
63
      O_DATA_ADDR   : OUT STD_LOGIC_VECTOR(14 DOWNTO 0);
64
      O_DATA        : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
65
      I_DATA        : IN  STD_LOGIC_VECTOR(15 DOWNTO 0));
66
  END COMPONENT S2CPU;
67
 
68
  COMPONENT S2MEM IS
69
    PORT (
70
      CLK       : IN  STD_LOGIC;
71
      RST       : IN  STD_LOGIC;
72
      I_RD      : IN  STD_LOGIC;
73
      I_WR      : IN  STD_LOGIC;
74
      I_ADDRESS : IN  STD_LOGIC_VECTOR(14 DOWNTO 0);
75
      I_DATA    : IN  STD_LOGIC_VECTOR(15 DOWNTO 0);
76
      O_DATA    : OUT STD_LOGIC_VECTOR(15 DOWNTO 0));
77
  END COMPONENT S2MEM;
78
 
79
END PACKAGE S2LIB;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.