OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [target/] [ghdl/] [2k.mk] - Blame information for rev 41

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 lcdsgmtr
# Copyright 2015, Jürgen Defurne
2
#
3
# This file is part of the Experimental Unstable CPU System.
4
#
5
# The Experimental Unstable CPU System Is free software: you can redistribute
6
# it and/or modify it under the terms of the GNU Lesser General Public License
7
# as published by the Free Software Foundation, either version 3 of the
8
# License, or (at your option) any later version.
9
#
10
# The Experimental Unstable CPU System is distributed in the hope that it will
11
# be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
12
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser
13
# General Public License for more details.
14
#
15
# You should have received a copy of the GNU Lesser General Public License
16
# along with Experimental Unstable CPU System. If not, see
17
# http://www.gnu.org/licenses/lgpl.txt.
18
 
19
FIND=gfind
20
XARGS=gxargs
21
UNISIM=/usr/local/share
22
SOURCE= ../src/util/file/arrayio.vhdl \
23
        ../src/components/components.vhdl \
24
        ../src/components/multiplexer/MUX.vhdl \
25
        ../src/components/BRAM/RAM.vhdl \
26
        ../src/components/ALU/alu2.vhdl \
27
        ../src/components/ALU/logic.vhdl \
28
        ../src/components/ALU/shift.vhdl \
29
        ../src/components/ALU/summation.vhdl \
30
        ../src/components/data_reg.vhdl \
31
        ../src/components/incr.vhdl \
32
        ../src/components/regf.vhdl \
33
        ../src/components/zerof.vhdl \
34
        ../src/system/controllers.vhdl \
35
        ../src/system/uctrl.vhdl \
36
        ../src/system/system_2k.vhdl \
37
        ../src/system/decoder.vhdl \
38
        ../src/io/gpio_in.vhdl \
39
        ../src/io/gpio_out.vhdl \
40
        ../tb/startup_sim.vhdl
41
 
42
unisim: unisim-obj93.cf
43
        ghdl -a --ieee=synopsys --work=unisim --workdir=tmp $(UNISIM)/unisims/*.vhd
44
        $(FIND) $(UNISIM)/unisims/primitive/*.vhd -print0 | $(XARGS) -0 -n 1 -t ghdl -a --ieee=synopsys --work=unisim --workdir=tmp -fexplicit
45
 
46
unisim-obj93.cf:
47
 
48
analyse:
49
        ghdl -a -P./. -P./tmp --ieee=synopsys --workdir=tmp $(SOURCE)
50
 
51
build: unisim-obj93.cf analyse
52
        ghdl -e -g -P./. -P./tmp --warn-unused --ieee=synopsys --workdir=tmp startup_sim
53
 
54
run: build
55
        ghdl -r -P. -P./tmp --ieee=synopsys --workdir=tmp startup_sim --wave=startup_sim.ghw --stop-time=300ns
56
 
57
clean:
58
        -rm *.o
59
        -rm unisim*
60
 
61
init: cp_init
62
 
63
cp_init:
64
        cp uctrl-init.vhdl uctrl.vhdl
65
 
66
main: cp_main
67
 
68
cp_main:
69
        cp uctrl-main.vhdl uctrl.vhdl
70
 
71
test: cp_test
72
 
73
cp_test:
74
        cp test/$(INST).txt input_data.txt
75
 
76
# vim:set noet tw=0 ts=8 nowrap:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.