OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [target/] [ghdl/] [S2.make] - Blame information for rev 41

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 lcdsgmtr
# Copyright 2015, Jürgen Defurne
2
#
3
# This file is part of the Experimental Unstable CPU System.
4
#
5
# The Experimental Unstable CPU System Is free software: you can redistribute
6
# it and/or modify it under the terms of the GNU Lesser General Public License
7
# as published by the Free Software Foundation, either version 3 of the
8
# License, or (at your option) any later version.
9
#
10
# The Experimental Unstable CPU System is distributed in the hope that it will
11
# be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
12
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser
13
# General Public License for more details.
14
#
15
# You should have received a copy of the GNU Lesser General Public License
16
# along with Experimental Unstable CPU System. If not, see
17
# http://www.gnu.org/licenses/lgpl.txt.
18
 
19
FIND=gfind
20
XARGS=gxargs
21
UNISIM=/usr/local/share
22
SOURCE= ../src/system/S2LIB.vhdl \
23
        ../src/system/S2.vhdl \
24
        ../src/system/S2ICC.vhdl \
25
        ../src/system/S2DCC.vhdl \
26
        ../src/system/S2CPU.vhdl \
27
        ../src/system/S2MEM.vhdl \
28
        ../src/system/S2BOARD_SIM.vhdl
29
 
30
analyse:
31
        ghdl -a -P./. -P./tmp --ieee=synopsys --workdir=tmp $(SOURCE)
32
 
33
build: unisim-obj93.cf analyse
34
        ghdl -e -g -P./. -P./tmp --warn-unused --ieee=synopsys --workdir=tmp S2BOARD_SIM
35
 
36
run: build
37
        ghdl -r -P. -P./tmp --ieee=synopsys --workdir=tmp S2BOARD_SIM --wave=S2_SCOPE.ghw --stop-time=300ns
38
 
39
clean:
40
        -rm *.o
41
        -rm unisim*
42
 
43
unisim: unisim-obj93.cf
44
        ghdl -a --ieee=synopsys --work=unisim --workdir=tmp $(UNISIM)/unisims/*.vhd
45
        $(FIND) $(UNISIM)/unisims/primitive/*.vhd -print0 | $(XARGS) -0 -n 1 -t ghdl -a --ieee=synopsys --work=unisim --workdir=tmp -fexplicit
46
 
47
unisim-obj93.cf:
48
 
49
# vim:set noet tw=0 ts=8 nowrap:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.