OpenCores
URL https://opencores.org/ocsvn/z80control/z80control/trunk

Subversion Repositories z80control

[/] [z80control/] [trunk/] [CII_Starter_USB_API_v1/] [HW/] [CII_Starter_USB_API.sta.rpt] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 tylerapohl
TimeQuest Timing Analyzer report for CII_Starter_USB_API
2
Sun Oct 11 12:25:21 2009
3
Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. TimeQuest Timing Analyzer Summary
11
  3. Clocks
12
  4. Slow Model Fmax Summary
13
  5. Slow Model Setup Summary
14
  6. Slow Model Hold Summary
15
  7. Slow Model Recovery Summary
16
  8. Slow Model Removal Summary
17
  9. Slow Model Minimum Pulse Width
18
 10. Setup Times
19
 11. Hold Times
20
 12. Clock to Output Times
21
 13. Minimum Clock to Output Times
22
 14. Propagation Delay
23
 15. Minimum Propagation Delay
24
 16. Fast Model Setup Summary
25
 17. Fast Model Hold Summary
26
 18. Fast Model Recovery Summary
27
 19. Fast Model Removal Summary
28
 20. Fast Model Minimum Pulse Width
29
 21. Setup Times
30
 22. Hold Times
31
 23. Clock to Output Times
32
 24. Minimum Clock to Output Times
33
 25. Propagation Delay
34
 26. Minimum Propagation Delay
35
 27. Multicorner Timing Analysis Summary
36
 28. Setup Times
37
 29. Hold Times
38
 30. Clock to Output Times
39
 31. Minimum Clock to Output Times
40
 32. Progagation Delay
41
 33. Minimum Progagation Delay
42
 34. Setup Transfers
43
 35. Hold Transfers
44
 36. Recovery Transfers
45
 37. Removal Transfers
46
 38. Report TCCS
47
 39. Report RSKM
48
 40. Unconstrained Paths
49
 41. TimeQuest Timing Analyzer Messages
50
 
51
 
52
 
53
----------------
54
; Legal Notice ;
55
----------------
56
Copyright (C) 1991-2009 Altera Corporation
57
Your use of Altera Corporation's design tools, logic functions
58
and other software and tools, and its AMPP partner logic
59
functions, and any output files from any of the foregoing
60
(including device programming or simulation files), and any
61
associated documentation or information are expressly subject
62
to the terms and conditions of the Altera Program License
63
Subscription Agreement, Altera MegaCore Function License
64
Agreement, or other applicable license agreement, including,
65
without limitation, that your use is for the sole purpose of
66
programming logic devices manufactured by Altera and sold by
67
Altera or its authorized distributors.  Please refer to the
68
applicable agreement for further details.
69
 
70
 
71
 
72
+-------------------------------------------------------------------------------------+
73
; TimeQuest Timing Analyzer Summary                                                   ;
74
+--------------------+----------------------------------------------------------------+
75
; Quartus II Version ; Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition ;
76
; Revision Name      ; CII_Starter_USB_API                                            ;
77
; Device Family      ; Cyclone II                                                     ;
78
; Device Name        ; EP2C20F484C7                                                   ;
79
; Timing Models      ; Final                                                          ;
80
; Delay Model        ; Combined                                                       ;
81
; Rise/Fall Delays   ; Unavailable                                                    ;
82
+--------------------+----------------------------------------------------------------+
83
 
84
 
85
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
86
; Clocks                                                                                                                                                                                                                                                                                                        ;
87
+----------------------------------------------+-----------+--------+------------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+-------------+------------------------------------------------+--------------------------------------------------+
88
; Clock Name                                   ; Type      ; Period ; Frequency  ; Rise  ; Fall   ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master      ; Source                                         ; Targets                                          ;
89
+----------------------------------------------+-----------+--------+------------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+-------------+------------------------------------------------+--------------------------------------------------+
90
; AUDIO_DAC:u11|LRCK_1X                        ; Base      ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500  ;            ;           ;             ;       ;        ;           ;            ;          ;             ;                                                ; { AUDIO_DAC:u11|LRCK_1X }                        ;
91
; AUDIO_DAC:u11|LRCK_2X                        ; Base      ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500  ;            ;           ;             ;       ;        ;           ;            ;          ;             ;                                                ; { AUDIO_DAC:u11|LRCK_2X }                        ;
92
; AUDIO_DAC:u11|LRCK_4X                        ; Base      ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500  ;            ;           ;             ;       ;        ;           ;            ;          ;             ;                                                ; { AUDIO_DAC:u11|LRCK_4X }                        ;
93
; AUDIO_DAC:u11|oAUD_BCK                       ; Base      ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500  ;            ;           ;             ;       ;        ;           ;            ;          ;             ;                                                ; { AUDIO_DAC:u11|oAUD_BCK }                       ;
94
; CLOCK_27[0]                                  ; Base      ; 37.037 ; 27.0 MHz   ; 0.000 ; 18.518 ;            ;           ;             ;       ;        ;           ;            ;          ;             ;                                                ; { CLOCK_27[0] }                                  ;
95
; CLOCK_50                                     ; Base      ; 20.000 ; 50.0 MHz   ; 0.000 ; 10.000 ;            ;           ;             ;       ;        ;           ;            ;          ;             ;                                                ; { CLOCK_50 }                                     ;
96
; I2C_AV_Config:u10|mI2C_CTRL_CLK              ; Base      ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500  ;            ;           ;             ;       ;        ;           ;            ;          ;             ;                                                ; { I2C_AV_Config:u10|mI2C_CTRL_CLK }              ;
97
; p1|altpll_component|pll|clk[0]               ; Generated ; 39.682 ; 25.2 MHz   ; 0.000 ; 19.841 ; 50.00      ; 15        ; 14          ;       ;        ;           ;            ; false    ; CLOCK_27[0] ; p1|altpll_component|pll|inclk[0]               ; { p1|altpll_component|pll|clk[0] }               ;
98
; p1|altpll_component|pll|clk[1]               ; Generated ; 55.555 ; 18.0 MHz   ; 0.000 ; 27.777 ; 50.00      ; 3         ; 2           ;       ;        ;           ;            ; false    ; CLOCK_27[0] ; p1|altpll_component|pll|inclk[0]               ; { p1|altpll_component|pll|clk[1] }               ;
99
; TCK                                          ; Base      ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500  ;            ;           ;             ;       ;        ;           ;            ;          ;             ;                                                ; { TCK }                                          ;
100
; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; Generated ; 20.000 ; 50.0 MHz   ; 0.000 ; 10.000 ; 50.00      ; 1         ; 1           ;       ;        ;           ;            ; false    ; CLOCK_50    ; u3|u1|sdram_pll1|altpll_component|pll|inclk[0] ; { u3|u1|sdram_pll1|altpll_component|pll|clk[0] } ;
101
; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ; Generated ; 20.000 ; 50.0 MHz   ; 0.000 ; 10.000 ; 50.00      ; 1         ; 1           ;       ;        ;           ;            ; false    ; CLOCK_50    ; u3|u1|sdram_pll1|altpll_component|pll|inclk[0] ; { u3|u1|sdram_pll1|altpll_component|pll|clk[2] } ;
102
; USB_JTAG:u1|mTCK                             ; Base      ; 1.000  ; 1000.0 MHz ; 0.000 ; 0.500  ;            ;           ;             ;       ;        ;           ;            ;          ;             ;                                                ; { USB_JTAG:u1|mTCK }                             ;
103
+----------------------------------------------+-----------+--------+------------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+-------------+------------------------------------------------+--------------------------------------------------+
104
 
105
 
106
+-------------------------------------------------------------------------------------------------------------------------------------+
107
; Slow Model Fmax Summary                                                                                                             ;
108
+------------+-----------------+----------------------------------------------+-------------------------------------------------------+
109
; Fmax       ; Restricted Fmax ; Clock Name                                   ; Note                                                  ;
110
+------------+-----------------+----------------------------------------------+-------------------------------------------------------+
111
; 65.2 MHz   ; 65.2 MHz        ; p1|altpll_component|pll|clk[0]               ;                                                       ;
112
; 97.63 MHz  ; 97.63 MHz       ; CLOCK_50                                     ;                                                       ;
113
; 189.39 MHz ; 189.39 MHz      ; TCK                                          ;                                                       ;
114
; 190.66 MHz ; 190.66 MHz      ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;                                                       ;
115
; 207.81 MHz ; 207.81 MHz      ; AUDIO_DAC:u11|LRCK_4X                        ;                                                       ;
116
; 256.02 MHz ; 256.02 MHz      ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;                                                       ;
117
; 297.35 MHz ; 297.35 MHz      ; p1|altpll_component|pll|clk[1]               ;                                                       ;
118
; 403.71 MHz ; 403.71 MHz      ; AUDIO_DAC:u11|LRCK_1X                        ;                                                       ;
119
; 476.64 MHz ; 450.05 MHz      ; USB_JTAG:u1|mTCK                             ; limit due to high minimum pulse width violation (tch) ;
120
; 671.14 MHz ; 450.05 MHz      ; AUDIO_DAC:u11|oAUD_BCK                       ; limit due to low minimum pulse width violation (tcl)  ;
121
+------------+-----------------+----------------------------------------------+-------------------------------------------------------+
122
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
123
 
124
 
125
+-----------------------------------------------------------------------+
126
; Slow Model Setup Summary                                              ;
127
+----------------------------------------------+--------+---------------+
128
; Clock                                        ; Slack  ; End Point TNS ;
129
+----------------------------------------------+--------+---------------+
130
; p1|altpll_component|pll|clk[0]               ; -8.207 ; -93.462       ;
131
; TCK                                          ; -4.280 ; -9.429        ;
132
; AUDIO_DAC:u11|LRCK_4X                        ; -3.812 ; -101.895      ;
133
; I2C_AV_Config:u10|mI2C_CTRL_CLK              ; -2.906 ; -72.732       ;
134
; CLOCK_50                                     ; -1.570 ; -12.001       ;
135
; AUDIO_DAC:u11|LRCK_1X                        ; -1.477 ; -7.476        ;
136
; AUDIO_DAC:u11|LRCK_2X                        ; -1.281 ; -12.787       ;
137
; USB_JTAG:u1|mTCK                             ; -1.098 ; -9.913        ;
138
; AUDIO_DAC:u11|oAUD_BCK                       ; -0.490 ; -0.861        ;
139
; p1|altpll_component|pll|clk[1]               ; -0.349 ; -1.380        ;
140
; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; 12.201 ; 0.000         ;
141
+----------------------------------------------+--------+---------------+
142
 
143
 
144
+-----------------------------------------------------------------------+
145
; Slow Model Hold Summary                                               ;
146
+----------------------------------------------+--------+---------------+
147
; Clock                                        ; Slack  ; End Point TNS ;
148
+----------------------------------------------+--------+---------------+
149
; CLOCK_50                                     ; -2.702 ; -29.887       ;
150
; p1|altpll_component|pll|clk[1]               ; 0.092  ; 0.000         ;
151
; AUDIO_DAC:u11|LRCK_1X                        ; 0.445  ; 0.000         ;
152
; AUDIO_DAC:u11|LRCK_4X                        ; 0.445  ; 0.000         ;
153
; AUDIO_DAC:u11|oAUD_BCK                       ; 0.445  ; 0.000         ;
154
; I2C_AV_Config:u10|mI2C_CTRL_CLK              ; 0.445  ; 0.000         ;
155
; TCK                                          ; 0.445  ; 0.000         ;
156
; USB_JTAG:u1|mTCK                             ; 0.445  ; 0.000         ;
157
; p1|altpll_component|pll|clk[0]               ; 0.445  ; 0.000         ;
158
; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; 0.445  ; 0.000         ;
159
; AUDIO_DAC:u11|LRCK_2X                        ; 1.325  ; 0.000         ;
160
+----------------------------------------------+--------+---------------+
161
 
162
 
163
+---------------------------------------------------------+
164
; Slow Model Recovery Summary                             ;
165
+--------------------------------+--------+---------------+
166
; Clock                          ; Slack  ; End Point TNS ;
167
+--------------------------------+--------+---------------+
168
; p1|altpll_component|pll|clk[0] ; -6.299 ; -449.521      ;
169
; p1|altpll_component|pll|clk[1] ; -5.585 ; -172.713      ;
170
; AUDIO_DAC:u11|LRCK_4X          ; -3.362 ; -112.147      ;
171
; AUDIO_DAC:u11|LRCK_2X          ; -3.234 ; -49.977       ;
172
; AUDIO_DAC:u11|LRCK_1X          ; -2.733 ; -16.398       ;
173
; AUDIO_DAC:u11|oAUD_BCK         ; -2.710 ; -18.970       ;
174
+--------------------------------+--------+---------------+
175
 
176
 
177
+--------------------------------------------------------+
178
; Slow Model Removal Summary                             ;
179
+--------------------------------+-------+---------------+
180
; Clock                          ; Slack ; End Point TNS ;
181
+--------------------------------+-------+---------------+
182
; AUDIO_DAC:u11|LRCK_4X          ; 2.977 ; 0.000         ;
183
; AUDIO_DAC:u11|oAUD_BCK         ; 3.462 ; 0.000         ;
184
; AUDIO_DAC:u11|LRCK_1X          ; 3.485 ; 0.000         ;
185
; AUDIO_DAC:u11|LRCK_2X          ; 3.686 ; 0.000         ;
186
; p1|altpll_component|pll|clk[1] ; 5.306 ; 0.000         ;
187
; p1|altpll_component|pll|clk[0] ; 5.800 ; 0.000         ;
188
+--------------------------------+-------+---------------+
189
 
190
 
191
+----------------------------------------------------------------------------------------------------------------------------------+
192
; Slow Model Minimum Pulse Width                                                                                                   ;
193
+--------+--------------+----------------+------------------+-----------------------+------------+---------------------------------+
194
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                 ; Clock Edge ; Target                          ;
195
+--------+--------------+----------------+------------------+-----------------------+------------+---------------------------------+
196
; -1.469 ; 1.000        ; 2.469          ; Port Rate        ; TCK                   ; Rise       ; TCK                             ;
197
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[0]     ;
198
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[0]     ;
199
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[10]    ;
200
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[10]    ;
201
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[11]    ;
202
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[11]    ;
203
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[12]    ;
204
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[12]    ;
205
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[13]    ;
206
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[13]    ;
207
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[14]    ;
208
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[14]    ;
209
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[15]    ;
210
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[15]    ;
211
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[16]    ;
212
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[16]    ;
213
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[17]    ;
214
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[17]    ;
215
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[18]    ;
216
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[18]    ;
217
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[19]    ;
218
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[19]    ;
219
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[1]     ;
220
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[1]     ;
221
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[20]    ;
222
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[20]    ;
223
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[21]    ;
224
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[21]    ;
225
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[2]     ;
226
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[2]     ;
227
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[3]     ;
228
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[3]     ;
229
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[4]     ;
230
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[4]     ;
231
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[5]     ;
232
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[5]     ;
233
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[6]     ;
234
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[6]     ;
235
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[7]     ;
236
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[7]     ;
237
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[8]     ;
238
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[8]     ;
239
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[9]     ;
240
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[9]     ;
241
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[0]      ;
242
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[0]      ;
243
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[10]     ;
244
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[10]     ;
245
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[11]     ;
246
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[11]     ;
247
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[12]     ;
248
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[12]     ;
249
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[13]     ;
250
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[13]     ;
251
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[14]     ;
252
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[14]     ;
253
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[15]     ;
254
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[15]     ;
255
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[1]      ;
256
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[1]      ;
257
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[2]      ;
258
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[2]      ;
259
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[3]      ;
260
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[3]      ;
261
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[4]      ;
262
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[4]      ;
263
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[5]      ;
264
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[5]      ;
265
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[6]      ;
266
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[6]      ;
267
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[7]      ;
268
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[7]      ;
269
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[8]      ;
270
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[8]      ;
271
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[9]      ;
272
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[9]      ;
273
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[0]  ;
274
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[0]  ;
275
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[10] ;
276
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[10] ;
277
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[11] ;
278
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[11] ;
279
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[12] ;
280
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[12] ;
281
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[13] ;
282
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[13] ;
283
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[14] ;
284
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[14] ;
285
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[15] ;
286
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[15] ;
287
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[1]  ;
288
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[1]  ;
289
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[2]  ;
290
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[2]  ;
291
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[3]  ;
292
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[3]  ;
293
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[4]  ;
294
; -0.611 ; 0.500        ; 1.111          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[4]  ;
295
; -0.611 ; 0.500        ; 1.111          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[5]  ;
296
+--------+--------------+----------------+------------------+-----------------------+------------+---------------------------------+
297
 
298
 
299
+------------------------------------------------------------------------------------------------------------------------------+
300
; Setup Times                                                                                                                  ;
301
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
302
; Data Port    ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                              ;
303
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
304
; FL_DQ[*]     ; CLOCK_50                        ; 4.681  ; 4.681  ; Rise       ; CLOCK_50                                     ;
305
;  FL_DQ[0]    ; CLOCK_50                        ; 4.167  ; 4.167  ; Rise       ; CLOCK_50                                     ;
306
;  FL_DQ[1]    ; CLOCK_50                        ; 4.221  ; 4.221  ; Rise       ; CLOCK_50                                     ;
307
;  FL_DQ[2]    ; CLOCK_50                        ; 4.028  ; 4.028  ; Rise       ; CLOCK_50                                     ;
308
;  FL_DQ[3]    ; CLOCK_50                        ; 4.109  ; 4.109  ; Rise       ; CLOCK_50                                     ;
309
;  FL_DQ[4]    ; CLOCK_50                        ; 4.623  ; 4.623  ; Rise       ; CLOCK_50                                     ;
310
;  FL_DQ[5]    ; CLOCK_50                        ; 4.539  ; 4.539  ; Rise       ; CLOCK_50                                     ;
311
;  FL_DQ[6]    ; CLOCK_50                        ; 4.681  ; 4.681  ; Rise       ; CLOCK_50                                     ;
312
;  FL_DQ[7]    ; CLOCK_50                        ; 4.520  ; 4.520  ; Rise       ; CLOCK_50                                     ;
313
; KEY[*]       ; CLOCK_50                        ; 7.902  ; 7.902  ; Rise       ; CLOCK_50                                     ;
314
;  KEY[0]      ; CLOCK_50                        ; 7.902  ; 7.902  ; Rise       ; CLOCK_50                                     ;
315
; PS2_CLK      ; CLOCK_50                        ; 4.587  ; 4.587  ; Rise       ; CLOCK_50                                     ;
316
; PS2_DAT      ; CLOCK_50                        ; 4.594  ; 4.594  ; Rise       ; CLOCK_50                                     ;
317
; SRAM_DQ[*]   ; CLOCK_50                        ; 7.346  ; 7.346  ; Rise       ; CLOCK_50                                     ;
318
;  SRAM_DQ[0]  ; CLOCK_50                        ; 6.894  ; 6.894  ; Rise       ; CLOCK_50                                     ;
319
;  SRAM_DQ[1]  ; CLOCK_50                        ; 6.418  ; 6.418  ; Rise       ; CLOCK_50                                     ;
320
;  SRAM_DQ[2]  ; CLOCK_50                        ; 5.690  ; 5.690  ; Rise       ; CLOCK_50                                     ;
321
;  SRAM_DQ[3]  ; CLOCK_50                        ; 6.759  ; 6.759  ; Rise       ; CLOCK_50                                     ;
322
;  SRAM_DQ[4]  ; CLOCK_50                        ; 6.123  ; 6.123  ; Rise       ; CLOCK_50                                     ;
323
;  SRAM_DQ[5]  ; CLOCK_50                        ; 6.675  ; 6.675  ; Rise       ; CLOCK_50                                     ;
324
;  SRAM_DQ[6]  ; CLOCK_50                        ; 6.104  ; 6.104  ; Rise       ; CLOCK_50                                     ;
325
;  SRAM_DQ[7]  ; CLOCK_50                        ; 6.674  ; 6.674  ; Rise       ; CLOCK_50                                     ;
326
;  SRAM_DQ[8]  ; CLOCK_50                        ; 7.346  ; 7.346  ; Rise       ; CLOCK_50                                     ;
327
;  SRAM_DQ[9]  ; CLOCK_50                        ; 6.634  ; 6.634  ; Rise       ; CLOCK_50                                     ;
328
;  SRAM_DQ[10] ; CLOCK_50                        ; 5.528  ; 5.528  ; Rise       ; CLOCK_50                                     ;
329
;  SRAM_DQ[11] ; CLOCK_50                        ; 6.445  ; 6.445  ; Rise       ; CLOCK_50                                     ;
330
;  SRAM_DQ[12] ; CLOCK_50                        ; 6.159  ; 6.159  ; Rise       ; CLOCK_50                                     ;
331
;  SRAM_DQ[13] ; CLOCK_50                        ; 6.111  ; 6.111  ; Rise       ; CLOCK_50                                     ;
332
;  SRAM_DQ[14] ; CLOCK_50                        ; 5.812  ; 5.812  ; Rise       ; CLOCK_50                                     ;
333
;  SRAM_DQ[15] ; CLOCK_50                        ; 7.128  ; 7.128  ; Rise       ; CLOCK_50                                     ;
334
; TCK          ; CLOCK_50                        ; 1.706  ; 1.706  ; Rise       ; CLOCK_50                                     ;
335
; I2C_SDAT     ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 5.658  ; 5.658  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
336
; KEY[*]       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 6.291  ; 6.291  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
337
;  KEY[0]      ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 6.291  ; 6.291  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
338
; TCS          ; USB_JTAG:u1|mTCK                ; 3.841  ; 3.841  ; Rise       ; USB_JTAG:u1|mTCK                             ;
339
; TDI          ; USB_JTAG:u1|mTCK                ; 3.023  ; 3.023  ; Rise       ; USB_JTAG:u1|mTCK                             ;
340
; SRAM_DQ[*]   ; CLOCK_27[0]                     ; 11.831 ; 11.831 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
341
;  SRAM_DQ[4]  ; CLOCK_27[0]                     ; 9.880  ; 9.880  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
342
;  SRAM_DQ[5]  ; CLOCK_27[0]                     ; 9.905  ; 9.905  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
343
;  SRAM_DQ[6]  ; CLOCK_27[0]                     ; 9.635  ; 9.635  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
344
;  SRAM_DQ[7]  ; CLOCK_27[0]                     ; 11.508 ; 11.508 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
345
;  SRAM_DQ[12] ; CLOCK_27[0]                     ; 9.475  ; 9.475  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
346
;  SRAM_DQ[13] ; CLOCK_27[0]                     ; 9.193  ; 9.193  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
347
;  SRAM_DQ[14] ; CLOCK_27[0]                     ; 9.341  ; 9.341  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
348
;  SRAM_DQ[15] ; CLOCK_27[0]                     ; 11.831 ; 11.831 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
349
; DRAM_DQ[*]   ; CLOCK_50                        ; 7.723  ; 7.723  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
350
;  DRAM_DQ[0]  ; CLOCK_50                        ; 7.209  ; 7.209  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
351
;  DRAM_DQ[1]  ; CLOCK_50                        ; 7.160  ; 7.160  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
352
;  DRAM_DQ[2]  ; CLOCK_50                        ; 7.479  ; 7.479  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
353
;  DRAM_DQ[3]  ; CLOCK_50                        ; 7.134  ; 7.134  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
354
;  DRAM_DQ[4]  ; CLOCK_50                        ; 7.559  ; 7.559  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
355
;  DRAM_DQ[5]  ; CLOCK_50                        ; 7.175  ; 7.175  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
356
;  DRAM_DQ[6]  ; CLOCK_50                        ; 7.182  ; 7.182  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
357
;  DRAM_DQ[7]  ; CLOCK_50                        ; 7.723  ; 7.723  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
358
;  DRAM_DQ[8]  ; CLOCK_50                        ; 6.713  ; 6.713  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
359
;  DRAM_DQ[9]  ; CLOCK_50                        ; 6.828  ; 6.828  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
360
;  DRAM_DQ[10] ; CLOCK_50                        ; 6.860  ; 6.860  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
361
;  DRAM_DQ[11] ; CLOCK_50                        ; 6.589  ; 6.589  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
362
;  DRAM_DQ[12] ; CLOCK_50                        ; 7.306  ; 7.306  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
363
;  DRAM_DQ[13] ; CLOCK_50                        ; 6.709  ; 6.709  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
364
;  DRAM_DQ[14] ; CLOCK_50                        ; 7.651  ; 7.651  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
365
;  DRAM_DQ[15] ; CLOCK_50                        ; 7.324  ; 7.324  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
366
; KEY[*]       ; CLOCK_50                        ; 8.649  ; 8.649  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
367
;  KEY[0]      ; CLOCK_50                        ; 8.649  ; 8.649  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
368
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
369
 
370
 
371
+------------------------------------------------------------------------------------------------------------------------------+
372
; Hold Times                                                                                                                   ;
373
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
374
; Data Port    ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                              ;
375
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
376
; FL_DQ[*]     ; CLOCK_50                        ; -3.780 ; -3.780 ; Rise       ; CLOCK_50                                     ;
377
;  FL_DQ[0]    ; CLOCK_50                        ; -3.919 ; -3.919 ; Rise       ; CLOCK_50                                     ;
378
;  FL_DQ[1]    ; CLOCK_50                        ; -3.973 ; -3.973 ; Rise       ; CLOCK_50                                     ;
379
;  FL_DQ[2]    ; CLOCK_50                        ; -3.780 ; -3.780 ; Rise       ; CLOCK_50                                     ;
380
;  FL_DQ[3]    ; CLOCK_50                        ; -3.861 ; -3.861 ; Rise       ; CLOCK_50                                     ;
381
;  FL_DQ[4]    ; CLOCK_50                        ; -4.375 ; -4.375 ; Rise       ; CLOCK_50                                     ;
382
;  FL_DQ[5]    ; CLOCK_50                        ; -4.291 ; -4.291 ; Rise       ; CLOCK_50                                     ;
383
;  FL_DQ[6]    ; CLOCK_50                        ; -4.433 ; -4.433 ; Rise       ; CLOCK_50                                     ;
384
;  FL_DQ[7]    ; CLOCK_50                        ; -4.272 ; -4.272 ; Rise       ; CLOCK_50                                     ;
385
; KEY[*]       ; CLOCK_50                        ; -3.810 ; -3.810 ; Rise       ; CLOCK_50                                     ;
386
;  KEY[0]      ; CLOCK_50                        ; -3.810 ; -3.810 ; Rise       ; CLOCK_50                                     ;
387
; PS2_CLK      ; CLOCK_50                        ; -4.339 ; -4.339 ; Rise       ; CLOCK_50                                     ;
388
; PS2_DAT      ; CLOCK_50                        ; -4.346 ; -4.346 ; Rise       ; CLOCK_50                                     ;
389
; SRAM_DQ[*]   ; CLOCK_50                        ; -5.280 ; -5.280 ; Rise       ; CLOCK_50                                     ;
390
;  SRAM_DQ[0]  ; CLOCK_50                        ; -6.646 ; -6.646 ; Rise       ; CLOCK_50                                     ;
391
;  SRAM_DQ[1]  ; CLOCK_50                        ; -6.170 ; -6.170 ; Rise       ; CLOCK_50                                     ;
392
;  SRAM_DQ[2]  ; CLOCK_50                        ; -5.442 ; -5.442 ; Rise       ; CLOCK_50                                     ;
393
;  SRAM_DQ[3]  ; CLOCK_50                        ; -6.511 ; -6.511 ; Rise       ; CLOCK_50                                     ;
394
;  SRAM_DQ[4]  ; CLOCK_50                        ; -5.875 ; -5.875 ; Rise       ; CLOCK_50                                     ;
395
;  SRAM_DQ[5]  ; CLOCK_50                        ; -6.427 ; -6.427 ; Rise       ; CLOCK_50                                     ;
396
;  SRAM_DQ[6]  ; CLOCK_50                        ; -5.856 ; -5.856 ; Rise       ; CLOCK_50                                     ;
397
;  SRAM_DQ[7]  ; CLOCK_50                        ; -6.426 ; -6.426 ; Rise       ; CLOCK_50                                     ;
398
;  SRAM_DQ[8]  ; CLOCK_50                        ; -7.098 ; -7.098 ; Rise       ; CLOCK_50                                     ;
399
;  SRAM_DQ[9]  ; CLOCK_50                        ; -6.386 ; -6.386 ; Rise       ; CLOCK_50                                     ;
400
;  SRAM_DQ[10] ; CLOCK_50                        ; -5.280 ; -5.280 ; Rise       ; CLOCK_50                                     ;
401
;  SRAM_DQ[11] ; CLOCK_50                        ; -6.197 ; -6.197 ; Rise       ; CLOCK_50                                     ;
402
;  SRAM_DQ[12] ; CLOCK_50                        ; -5.911 ; -5.911 ; Rise       ; CLOCK_50                                     ;
403
;  SRAM_DQ[13] ; CLOCK_50                        ; -5.863 ; -5.863 ; Rise       ; CLOCK_50                                     ;
404
;  SRAM_DQ[14] ; CLOCK_50                        ; -5.564 ; -5.564 ; Rise       ; CLOCK_50                                     ;
405
;  SRAM_DQ[15] ; CLOCK_50                        ; -6.880 ; -6.880 ; Rise       ; CLOCK_50                                     ;
406
; TCK          ; CLOCK_50                        ; -1.458 ; -1.458 ; Rise       ; CLOCK_50                                     ;
407
; I2C_SDAT     ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; -4.148 ; -4.148 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
408
; KEY[*]       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; -5.416 ; -5.416 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
409
;  KEY[0]      ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; -5.416 ; -5.416 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
410
; TCS          ; USB_JTAG:u1|mTCK                ; -2.850 ; -2.850 ; Rise       ; USB_JTAG:u1|mTCK                             ;
411
; TDI          ; USB_JTAG:u1|mTCK                ; -2.760 ; -2.760 ; Rise       ; USB_JTAG:u1|mTCK                             ;
412
; SRAM_DQ[*]   ; CLOCK_27[0]                     ; -8.743 ; -8.743 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
413
;  SRAM_DQ[4]  ; CLOCK_27[0]                     ; -9.406 ; -9.406 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
414
;  SRAM_DQ[5]  ; CLOCK_27[0]                     ; -9.653 ; -9.653 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
415
;  SRAM_DQ[6]  ; CLOCK_27[0]                     ; -9.037 ; -9.037 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
416
;  SRAM_DQ[7]  ; CLOCK_27[0]                     ; -8.911 ; -8.911 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
417
;  SRAM_DQ[12] ; CLOCK_27[0]                     ; -9.001 ; -9.001 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
418
;  SRAM_DQ[13] ; CLOCK_27[0]                     ; -8.941 ; -8.941 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
419
;  SRAM_DQ[14] ; CLOCK_27[0]                     ; -8.743 ; -8.743 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
420
;  SRAM_DQ[15] ; CLOCK_27[0]                     ; -9.234 ; -9.234 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
421
; DRAM_DQ[*]   ; CLOCK_50                        ; -6.341 ; -6.341 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
422
;  DRAM_DQ[0]  ; CLOCK_50                        ; -6.961 ; -6.961 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
423
;  DRAM_DQ[1]  ; CLOCK_50                        ; -6.912 ; -6.912 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
424
;  DRAM_DQ[2]  ; CLOCK_50                        ; -7.231 ; -7.231 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
425
;  DRAM_DQ[3]  ; CLOCK_50                        ; -6.886 ; -6.886 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
426
;  DRAM_DQ[4]  ; CLOCK_50                        ; -7.311 ; -7.311 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
427
;  DRAM_DQ[5]  ; CLOCK_50                        ; -6.927 ; -6.927 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
428
;  DRAM_DQ[6]  ; CLOCK_50                        ; -6.934 ; -6.934 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
429
;  DRAM_DQ[7]  ; CLOCK_50                        ; -7.475 ; -7.475 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
430
;  DRAM_DQ[8]  ; CLOCK_50                        ; -6.465 ; -6.465 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
431
;  DRAM_DQ[9]  ; CLOCK_50                        ; -6.580 ; -6.580 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
432
;  DRAM_DQ[10] ; CLOCK_50                        ; -6.612 ; -6.612 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
433
;  DRAM_DQ[11] ; CLOCK_50                        ; -6.341 ; -6.341 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
434
;  DRAM_DQ[12] ; CLOCK_50                        ; -7.058 ; -7.058 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
435
;  DRAM_DQ[13] ; CLOCK_50                        ; -6.461 ; -6.461 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
436
;  DRAM_DQ[14] ; CLOCK_50                        ; -7.403 ; -7.403 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
437
;  DRAM_DQ[15] ; CLOCK_50                        ; -7.076 ; -7.076 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
438
; KEY[*]       ; CLOCK_50                        ; -7.227 ; -7.227 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
439
;  KEY[0]      ; CLOCK_50                        ; -7.227 ; -7.227 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
440
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
441
 
442
 
443
+--------------------------------------------------------------------------------------------------------------------------------+
444
; Clock to Output Times                                                                                                          ;
445
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
446
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                              ;
447
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
448
; AUD_ADCLRCK    ; AUDIO_DAC:u11|LRCK_1X           ; 7.897  ;        ; Rise       ; AUDIO_DAC:u11|LRCK_1X                        ;
449
; AUD_DACLRCK    ; AUDIO_DAC:u11|LRCK_1X           ; 7.907  ;        ; Rise       ; AUDIO_DAC:u11|LRCK_1X                        ;
450
; AUD_ADCLRCK    ; AUDIO_DAC:u11|LRCK_1X           ;        ; 7.897  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
451
; AUD_DACDAT     ; AUDIO_DAC:u11|LRCK_1X           ; 17.724 ; 17.724 ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
452
; AUD_DACLRCK    ; AUDIO_DAC:u11|LRCK_1X           ;        ; 7.907  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
453
; AUD_DACDAT     ; AUDIO_DAC:u11|LRCK_2X           ; 16.094 ; 16.094 ; Fall       ; AUDIO_DAC:u11|LRCK_2X                        ;
454
; AUD_BCLK       ; AUDIO_DAC:u11|oAUD_BCK          ; 7.961  ;        ; Rise       ; AUDIO_DAC:u11|oAUD_BCK                       ;
455
; AUD_BCLK       ; AUDIO_DAC:u11|oAUD_BCK          ;        ; 7.961  ; Fall       ; AUDIO_DAC:u11|oAUD_BCK                       ;
456
; AUD_DACDAT     ; AUDIO_DAC:u11|oAUD_BCK          ; 18.331 ; 18.331 ; Fall       ; AUDIO_DAC:u11|oAUD_BCK                       ;
457
; FL_ADDR[*]     ; CLOCK_50                        ; 12.237 ; 12.237 ; Rise       ; CLOCK_50                                     ;
458
;  FL_ADDR[0]    ; CLOCK_50                        ; 11.637 ; 11.637 ; Rise       ; CLOCK_50                                     ;
459
;  FL_ADDR[1]    ; CLOCK_50                        ; 11.654 ; 11.654 ; Rise       ; CLOCK_50                                     ;
460
;  FL_ADDR[2]    ; CLOCK_50                        ; 10.537 ; 10.537 ; Rise       ; CLOCK_50                                     ;
461
;  FL_ADDR[3]    ; CLOCK_50                        ; 11.960 ; 11.960 ; Rise       ; CLOCK_50                                     ;
462
;  FL_ADDR[4]    ; CLOCK_50                        ; 10.852 ; 10.852 ; Rise       ; CLOCK_50                                     ;
463
;  FL_ADDR[5]    ; CLOCK_50                        ; 12.237 ; 12.237 ; Rise       ; CLOCK_50                                     ;
464
;  FL_ADDR[6]    ; CLOCK_50                        ; 11.640 ; 11.640 ; Rise       ; CLOCK_50                                     ;
465
;  FL_ADDR[7]    ; CLOCK_50                        ; 11.659 ; 11.659 ; Rise       ; CLOCK_50                                     ;
466
;  FL_ADDR[8]    ; CLOCK_50                        ; 11.086 ; 11.086 ; Rise       ; CLOCK_50                                     ;
467
;  FL_ADDR[9]    ; CLOCK_50                        ; 11.593 ; 11.593 ; Rise       ; CLOCK_50                                     ;
468
;  FL_ADDR[10]   ; CLOCK_50                        ; 11.632 ; 11.632 ; Rise       ; CLOCK_50                                     ;
469
;  FL_ADDR[11]   ; CLOCK_50                        ; 11.856 ; 11.856 ; Rise       ; CLOCK_50                                     ;
470
;  FL_ADDR[12]   ; CLOCK_50                        ; 9.936  ; 9.936  ; Rise       ; CLOCK_50                                     ;
471
;  FL_ADDR[13]   ; CLOCK_50                        ; 10.068 ; 10.068 ; Rise       ; CLOCK_50                                     ;
472
;  FL_ADDR[14]   ; CLOCK_50                        ; 9.846  ; 9.846  ; Rise       ; CLOCK_50                                     ;
473
;  FL_ADDR[15]   ; CLOCK_50                        ; 10.079 ; 10.079 ; Rise       ; CLOCK_50                                     ;
474
;  FL_ADDR[16]   ; CLOCK_50                        ; 10.022 ; 10.022 ; Rise       ; CLOCK_50                                     ;
475
;  FL_ADDR[17]   ; CLOCK_50                        ; 10.273 ; 10.273 ; Rise       ; CLOCK_50                                     ;
476
;  FL_ADDR[18]   ; CLOCK_50                        ; 9.575  ; 9.575  ; Rise       ; CLOCK_50                                     ;
477
;  FL_ADDR[19]   ; CLOCK_50                        ; 9.999  ; 9.999  ; Rise       ; CLOCK_50                                     ;
478
;  FL_ADDR[20]   ; CLOCK_50                        ; 10.304 ; 10.304 ; Rise       ; CLOCK_50                                     ;
479
;  FL_ADDR[21]   ; CLOCK_50                        ; 10.069 ; 10.069 ; Rise       ; CLOCK_50                                     ;
480
; FL_CE_N        ; CLOCK_50                        ; 7.575  ; 7.575  ; Rise       ; CLOCK_50                                     ;
481
; FL_DQ[*]       ; CLOCK_50                        ; 10.889 ; 10.889 ; Rise       ; CLOCK_50                                     ;
482
;  FL_DQ[0]      ; CLOCK_50                        ; 9.462  ; 9.462  ; Rise       ; CLOCK_50                                     ;
483
;  FL_DQ[1]      ; CLOCK_50                        ; 9.206  ; 9.206  ; Rise       ; CLOCK_50                                     ;
484
;  FL_DQ[2]      ; CLOCK_50                        ; 9.938  ; 9.938  ; Rise       ; CLOCK_50                                     ;
485
;  FL_DQ[3]      ; CLOCK_50                        ; 9.184  ; 9.184  ; Rise       ; CLOCK_50                                     ;
486
;  FL_DQ[4]      ; CLOCK_50                        ; 10.889 ; 10.889 ; Rise       ; CLOCK_50                                     ;
487
;  FL_DQ[5]      ; CLOCK_50                        ; 10.696 ; 10.696 ; Rise       ; CLOCK_50                                     ;
488
;  FL_DQ[6]      ; CLOCK_50                        ; 10.431 ; 10.431 ; Rise       ; CLOCK_50                                     ;
489
;  FL_DQ[7]      ; CLOCK_50                        ; 10.695 ; 10.695 ; Rise       ; CLOCK_50                                     ;
490
; FL_OE_N        ; CLOCK_50                        ; 8.623  ; 8.623  ; Rise       ; CLOCK_50                                     ;
491
; FL_WE_N        ; CLOCK_50                        ; 9.683  ; 9.683  ; Rise       ; CLOCK_50                                     ;
492
; HEX0[*]        ; CLOCK_50                        ; 9.803  ; 9.803  ; Rise       ; CLOCK_50                                     ;
493
;  HEX0[0]       ; CLOCK_50                        ; 9.252  ; 9.252  ; Rise       ; CLOCK_50                                     ;
494
;  HEX0[1]       ; CLOCK_50                        ; 8.771  ; 8.771  ; Rise       ; CLOCK_50                                     ;
495
;  HEX0[2]       ; CLOCK_50                        ; 9.696  ; 9.696  ; Rise       ; CLOCK_50                                     ;
496
;  HEX0[3]       ; CLOCK_50                        ; 9.803  ; 9.803  ; Rise       ; CLOCK_50                                     ;
497
;  HEX0[4]       ; CLOCK_50                        ; 9.612  ; 9.612  ; Rise       ; CLOCK_50                                     ;
498
;  HEX0[5]       ; CLOCK_50                        ; 8.850  ; 8.850  ; Rise       ; CLOCK_50                                     ;
499
;  HEX0[6]       ; CLOCK_50                        ; 9.719  ; 9.719  ; Rise       ; CLOCK_50                                     ;
500
; HEX1[*]        ; CLOCK_50                        ; 10.139 ; 10.139 ; Rise       ; CLOCK_50                                     ;
501
;  HEX1[0]       ; CLOCK_50                        ; 9.522  ; 9.522  ; Rise       ; CLOCK_50                                     ;
502
;  HEX1[1]       ; CLOCK_50                        ; 9.460  ; 9.460  ; Rise       ; CLOCK_50                                     ;
503
;  HEX1[2]       ; CLOCK_50                        ; 8.904  ; 8.904  ; Rise       ; CLOCK_50                                     ;
504
;  HEX1[3]       ; CLOCK_50                        ; 8.701  ; 8.701  ; Rise       ; CLOCK_50                                     ;
505
;  HEX1[4]       ; CLOCK_50                        ; 9.648  ; 9.648  ; Rise       ; CLOCK_50                                     ;
506
;  HEX1[5]       ; CLOCK_50                        ; 10.139 ; 10.139 ; Rise       ; CLOCK_50                                     ;
507
;  HEX1[6]       ; CLOCK_50                        ; 10.077 ; 10.077 ; Rise       ; CLOCK_50                                     ;
508
; HEX2[*]        ; CLOCK_50                        ; 10.291 ; 10.291 ; Rise       ; CLOCK_50                                     ;
509
;  HEX2[0]       ; CLOCK_50                        ; 9.911  ; 9.911  ; Rise       ; CLOCK_50                                     ;
510
;  HEX2[1]       ; CLOCK_50                        ; 9.808  ; 9.808  ; Rise       ; CLOCK_50                                     ;
511
;  HEX2[2]       ; CLOCK_50                        ; 9.853  ; 9.853  ; Rise       ; CLOCK_50                                     ;
512
;  HEX2[3]       ; CLOCK_50                        ; 10.291 ; 10.291 ; Rise       ; CLOCK_50                                     ;
513
;  HEX2[4]       ; CLOCK_50                        ; 9.796  ; 9.796  ; Rise       ; CLOCK_50                                     ;
514
;  HEX2[5]       ; CLOCK_50                        ; 10.051 ; 10.051 ; Rise       ; CLOCK_50                                     ;
515
;  HEX2[6]       ; CLOCK_50                        ; 9.829  ; 9.829  ; Rise       ; CLOCK_50                                     ;
516
; HEX3[*]        ; CLOCK_50                        ; 10.028 ; 10.028 ; Rise       ; CLOCK_50                                     ;
517
;  HEX3[0]       ; CLOCK_50                        ; 9.998  ; 9.998  ; Rise       ; CLOCK_50                                     ;
518
;  HEX3[1]       ; CLOCK_50                        ; 10.028 ; 10.028 ; Rise       ; CLOCK_50                                     ;
519
;  HEX3[2]       ; CLOCK_50                        ; 10.006 ; 10.006 ; Rise       ; CLOCK_50                                     ;
520
;  HEX3[3]       ; CLOCK_50                        ; 9.439  ; 9.439  ; Rise       ; CLOCK_50                                     ;
521
;  HEX3[4]       ; CLOCK_50                        ; 9.103  ; 9.103  ; Rise       ; CLOCK_50                                     ;
522
;  HEX3[5]       ; CLOCK_50                        ; 8.943  ; 8.943  ; Rise       ; CLOCK_50                                     ;
523
;  HEX3[6]       ; CLOCK_50                        ; 10.019 ; 10.019 ; Rise       ; CLOCK_50                                     ;
524
; LEDG[*]        ; CLOCK_50                        ; 8.434  ; 8.434  ; Rise       ; CLOCK_50                                     ;
525
;  LEDG[0]       ; CLOCK_50                        ; 8.003  ; 8.003  ; Rise       ; CLOCK_50                                     ;
526
;  LEDG[1]       ; CLOCK_50                        ; 8.324  ; 8.324  ; Rise       ; CLOCK_50                                     ;
527
;  LEDG[2]       ; CLOCK_50                        ; 8.357  ; 8.357  ; Rise       ; CLOCK_50                                     ;
528
;  LEDG[3]       ; CLOCK_50                        ; 8.331  ; 8.331  ; Rise       ; CLOCK_50                                     ;
529
;  LEDG[4]       ; CLOCK_50                        ; 8.434  ; 8.434  ; Rise       ; CLOCK_50                                     ;
530
;  LEDG[5]       ; CLOCK_50                        ; 8.024  ; 8.024  ; Rise       ; CLOCK_50                                     ;
531
;  LEDG[6]       ; CLOCK_50                        ; 8.408  ; 8.408  ; Rise       ; CLOCK_50                                     ;
532
;  LEDG[7]       ; CLOCK_50                        ; 8.382  ; 8.382  ; Rise       ; CLOCK_50                                     ;
533
; LEDR[*]        ; CLOCK_50                        ; 8.900  ; 8.900  ; Rise       ; CLOCK_50                                     ;
534
;  LEDR[0]       ; CLOCK_50                        ; 7.980  ; 7.980  ; Rise       ; CLOCK_50                                     ;
535
;  LEDR[1]       ; CLOCK_50                        ; 8.008  ; 8.008  ; Rise       ; CLOCK_50                                     ;
536
;  LEDR[2]       ; CLOCK_50                        ; 8.365  ; 8.365  ; Rise       ; CLOCK_50                                     ;
537
;  LEDR[3]       ; CLOCK_50                        ; 8.727  ; 8.727  ; Rise       ; CLOCK_50                                     ;
538
;  LEDR[4]       ; CLOCK_50                        ; 8.900  ; 8.900  ; Rise       ; CLOCK_50                                     ;
539
;  LEDR[5]       ; CLOCK_50                        ; 8.700  ; 8.700  ; Rise       ; CLOCK_50                                     ;
540
;  LEDR[6]       ; CLOCK_50                        ; 8.486  ; 8.486  ; Rise       ; CLOCK_50                                     ;
541
;  LEDR[7]       ; CLOCK_50                        ; 8.572  ; 8.572  ; Rise       ; CLOCK_50                                     ;
542
;  LEDR[8]       ; CLOCK_50                        ; 8.864  ; 8.864  ; Rise       ; CLOCK_50                                     ;
543
;  LEDR[9]       ; CLOCK_50                        ; 8.876  ; 8.876  ; Rise       ; CLOCK_50                                     ;
544
; SRAM_ADDR[*]   ; CLOCK_50                        ; 11.345 ; 11.345 ; Rise       ; CLOCK_50                                     ;
545
;  SRAM_ADDR[0]  ; CLOCK_50                        ; 10.879 ; 10.879 ; Rise       ; CLOCK_50                                     ;
546
;  SRAM_ADDR[1]  ; CLOCK_50                        ; 10.638 ; 10.638 ; Rise       ; CLOCK_50                                     ;
547
;  SRAM_ADDR[2]  ; CLOCK_50                        ; 10.442 ; 10.442 ; Rise       ; CLOCK_50                                     ;
548
;  SRAM_ADDR[3]  ; CLOCK_50                        ; 10.465 ; 10.465 ; Rise       ; CLOCK_50                                     ;
549
;  SRAM_ADDR[4]  ; CLOCK_50                        ; 10.650 ; 10.650 ; Rise       ; CLOCK_50                                     ;
550
;  SRAM_ADDR[5]  ; CLOCK_50                        ; 8.862  ; 8.862  ; Rise       ; CLOCK_50                                     ;
551
;  SRAM_ADDR[6]  ; CLOCK_50                        ; 9.490  ; 9.490  ; Rise       ; CLOCK_50                                     ;
552
;  SRAM_ADDR[7]  ; CLOCK_50                        ; 8.932  ; 8.932  ; Rise       ; CLOCK_50                                     ;
553
;  SRAM_ADDR[8]  ; CLOCK_50                        ; 9.299  ; 9.299  ; Rise       ; CLOCK_50                                     ;
554
;  SRAM_ADDR[9]  ; CLOCK_50                        ; 10.049 ; 10.049 ; Rise       ; CLOCK_50                                     ;
555
;  SRAM_ADDR[10] ; CLOCK_50                        ; 10.089 ; 10.089 ; Rise       ; CLOCK_50                                     ;
556
;  SRAM_ADDR[11] ; CLOCK_50                        ; 10.060 ; 10.060 ; Rise       ; CLOCK_50                                     ;
557
;  SRAM_ADDR[12] ; CLOCK_50                        ; 10.331 ; 10.331 ; Rise       ; CLOCK_50                                     ;
558
;  SRAM_ADDR[13] ; CLOCK_50                        ; 10.659 ; 10.659 ; Rise       ; CLOCK_50                                     ;
559
;  SRAM_ADDR[14] ; CLOCK_50                        ; 10.570 ; 10.570 ; Rise       ; CLOCK_50                                     ;
560
;  SRAM_ADDR[15] ; CLOCK_50                        ; 10.601 ; 10.601 ; Rise       ; CLOCK_50                                     ;
561
;  SRAM_ADDR[16] ; CLOCK_50                        ; 11.345 ; 11.345 ; Rise       ; CLOCK_50                                     ;
562
;  SRAM_ADDR[17] ; CLOCK_50                        ; 11.197 ; 11.197 ; Rise       ; CLOCK_50                                     ;
563
; SRAM_DQ[*]     ; CLOCK_50                        ; 11.882 ; 11.882 ; Rise       ; CLOCK_50                                     ;
564
;  SRAM_DQ[0]    ; CLOCK_50                        ; 11.200 ; 11.200 ; Rise       ; CLOCK_50                                     ;
565
;  SRAM_DQ[1]    ; CLOCK_50                        ; 11.210 ; 11.210 ; Rise       ; CLOCK_50                                     ;
566
;  SRAM_DQ[2]    ; CLOCK_50                        ; 11.535 ; 11.535 ; Rise       ; CLOCK_50                                     ;
567
;  SRAM_DQ[3]    ; CLOCK_50                        ; 11.545 ; 11.545 ; Rise       ; CLOCK_50                                     ;
568
;  SRAM_DQ[4]    ; CLOCK_50                        ; 10.671 ; 10.671 ; Rise       ; CLOCK_50                                     ;
569
;  SRAM_DQ[5]    ; CLOCK_50                        ; 10.669 ; 10.669 ; Rise       ; CLOCK_50                                     ;
570
;  SRAM_DQ[6]    ; CLOCK_50                        ; 10.960 ; 10.960 ; Rise       ; CLOCK_50                                     ;
571
;  SRAM_DQ[7]    ; CLOCK_50                        ; 10.965 ; 10.965 ; Rise       ; CLOCK_50                                     ;
572
;  SRAM_DQ[8]    ; CLOCK_50                        ; 11.515 ; 11.515 ; Rise       ; CLOCK_50                                     ;
573
;  SRAM_DQ[9]    ; CLOCK_50                        ; 11.515 ; 11.515 ; Rise       ; CLOCK_50                                     ;
574
;  SRAM_DQ[10]   ; CLOCK_50                        ; 11.484 ; 11.484 ; Rise       ; CLOCK_50                                     ;
575
;  SRAM_DQ[11]   ; CLOCK_50                        ; 11.199 ; 11.199 ; Rise       ; CLOCK_50                                     ;
576
;  SRAM_DQ[12]   ; CLOCK_50                        ; 11.219 ; 11.219 ; Rise       ; CLOCK_50                                     ;
577
;  SRAM_DQ[13]   ; CLOCK_50                        ; 11.494 ; 11.494 ; Rise       ; CLOCK_50                                     ;
578
;  SRAM_DQ[14]   ; CLOCK_50                        ; 11.408 ; 11.408 ; Rise       ; CLOCK_50                                     ;
579
;  SRAM_DQ[15]   ; CLOCK_50                        ; 11.882 ; 11.882 ; Rise       ; CLOCK_50                                     ;
580
; SRAM_OE_N      ; CLOCK_50                        ; 12.012 ; 12.012 ; Rise       ; CLOCK_50                                     ;
581
; SRAM_WE_N      ; CLOCK_50                        ; 10.085 ; 10.085 ; Rise       ; CLOCK_50                                     ;
582
; I2C_SCLK       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 12.189 ; 12.189 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
583
; I2C_SDAT       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 8.797  ; 8.797  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
584
; I2C_SCLK       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 6.344  ;        ; Fall       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
585
; TDO            ; TCK                             ; 7.369  ; 7.369  ; Rise       ; TCK                                          ;
586
; SRAM_ADDR[*]   ; CLOCK_27[0]                     ; 9.386  ; 9.386  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
587
;  SRAM_ADDR[0]  ; CLOCK_27[0]                     ; 8.683  ; 8.683  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
588
;  SRAM_ADDR[1]  ; CLOCK_27[0]                     ; 8.702  ; 8.702  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
589
;  SRAM_ADDR[2]  ; CLOCK_27[0]                     ; 8.037  ; 8.037  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
590
;  SRAM_ADDR[3]  ; CLOCK_27[0]                     ; 8.243  ; 8.243  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
591
;  SRAM_ADDR[4]  ; CLOCK_27[0]                     ; 9.386  ; 9.386  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
592
;  SRAM_ADDR[5]  ; CLOCK_27[0]                     ; 6.460  ; 6.460  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
593
;  SRAM_ADDR[6]  ; CLOCK_27[0]                     ; 7.288  ; 7.288  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
594
;  SRAM_ADDR[7]  ; CLOCK_27[0]                     ; 6.541  ; 6.541  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
595
;  SRAM_ADDR[8]  ; CLOCK_27[0]                     ; 7.088  ; 7.088  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
596
;  SRAM_ADDR[9]  ; CLOCK_27[0]                     ; 7.422  ; 7.422  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
597
;  SRAM_ADDR[10] ; CLOCK_27[0]                     ; 7.436  ; 7.436  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
598
;  SRAM_ADDR[11] ; CLOCK_27[0]                     ; 7.729  ; 7.729  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
599
;  SRAM_ADDR[12] ; CLOCK_27[0]                     ; 7.725  ; 7.725  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
600
;  SRAM_ADDR[13] ; CLOCK_27[0]                     ; 7.976  ; 7.976  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
601
;  SRAM_ADDR[14] ; CLOCK_27[0]                     ; 8.634  ; 8.634  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
602
;  SRAM_ADDR[15] ; CLOCK_27[0]                     ; 8.669  ; 8.669  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
603
;  SRAM_ADDR[16] ; CLOCK_27[0]                     ; 8.794  ; 8.794  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
604
;  SRAM_ADDR[17] ; CLOCK_27[0]                     ; 8.541  ; 8.541  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
605
; VGA_B[*]       ; CLOCK_27[0]                     ; 13.283 ; 13.283 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
606
;  VGA_B[0]      ; CLOCK_27[0]                     ; 13.283 ; 13.283 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
607
;  VGA_B[1]      ; CLOCK_27[0]                     ; 11.613 ; 11.613 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
608
;  VGA_B[2]      ; CLOCK_27[0]                     ; 11.408 ; 11.408 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
609
;  VGA_B[3]      ; CLOCK_27[0]                     ; 11.968 ; 11.968 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
610
; VGA_G[*]       ; CLOCK_27[0]                     ; 13.260 ; 13.260 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
611
;  VGA_G[0]      ; CLOCK_27[0]                     ; 12.329 ; 12.329 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
612
;  VGA_G[1]      ; CLOCK_27[0]                     ; 12.956 ; 12.956 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
613
;  VGA_G[2]      ; CLOCK_27[0]                     ; 12.040 ; 12.040 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
614
;  VGA_G[3]      ; CLOCK_27[0]                     ; 13.260 ; 13.260 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
615
; VGA_HS         ; CLOCK_27[0]                     ; 5.757  ; 5.757  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
616
; VGA_R[*]       ; CLOCK_27[0]                     ; 13.529 ; 13.529 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
617
;  VGA_R[0]      ; CLOCK_27[0]                     ; 13.360 ; 13.360 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
618
;  VGA_R[1]      ; CLOCK_27[0]                     ; 13.529 ; 13.529 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
619
;  VGA_R[2]      ; CLOCK_27[0]                     ; 13.236 ; 13.236 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
620
;  VGA_R[3]      ; CLOCK_27[0]                     ; 12.655 ; 12.655 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
621
; VGA_VS         ; CLOCK_27[0]                     ; 5.878  ; 5.878  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
622
; AUD_XCK        ; CLOCK_27[0]                     ; 2.904  ;        ; Rise       ; p1|altpll_component|pll|clk[1]               ;
623
; AUD_XCK        ; CLOCK_27[0]                     ;        ; 2.904  ; Fall       ; p1|altpll_component|pll|clk[1]               ;
624
; DRAM_ADDR[*]   ; CLOCK_50                        ; 5.419  ; 5.419  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
625
;  DRAM_ADDR[0]  ; CLOCK_50                        ; 5.322  ; 5.322  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
626
;  DRAM_ADDR[1]  ; CLOCK_50                        ; 5.359  ; 5.359  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
627
;  DRAM_ADDR[2]  ; CLOCK_50                        ; 5.419  ; 5.419  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
628
;  DRAM_ADDR[3]  ; CLOCK_50                        ; 5.340  ; 5.340  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
629
;  DRAM_ADDR[4]  ; CLOCK_50                        ; 4.925  ; 4.925  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
630
;  DRAM_ADDR[5]  ; CLOCK_50                        ; 4.948  ; 4.948  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
631
;  DRAM_ADDR[6]  ; CLOCK_50                        ; 4.919  ; 4.919  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
632
;  DRAM_ADDR[7]  ; CLOCK_50                        ; 4.910  ; 4.910  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
633
;  DRAM_ADDR[8]  ; CLOCK_50                        ; 4.594  ; 4.594  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
634
;  DRAM_ADDR[9]  ; CLOCK_50                        ; 4.600  ; 4.600  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
635
;  DRAM_ADDR[10] ; CLOCK_50                        ; 5.323  ; 5.323  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
636
;  DRAM_ADDR[11] ; CLOCK_50                        ; 4.929  ; 4.929  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
637
; DRAM_BA_0      ; CLOCK_50                        ; 5.621  ; 5.621  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
638
; DRAM_BA_1      ; CLOCK_50                        ; 5.746  ; 5.746  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
639
; DRAM_CAS_N     ; CLOCK_50                        ; 5.307  ; 5.307  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
640
; DRAM_CKE       ; CLOCK_50                        ; 5.319  ; 5.319  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
641
; DRAM_CS_N      ; CLOCK_50                        ; 5.587  ; 5.587  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
642
; DRAM_DQ[*]     ; CLOCK_50                        ; 6.298  ; 6.298  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
643
;  DRAM_DQ[0]    ; CLOCK_50                        ; 5.829  ; 5.829  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
644
;  DRAM_DQ[1]    ; CLOCK_50                        ; 6.167  ; 6.167  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
645
;  DRAM_DQ[2]    ; CLOCK_50                        ; 6.291  ; 6.291  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
646
;  DRAM_DQ[3]    ; CLOCK_50                        ; 5.609  ; 5.609  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
647
;  DRAM_DQ[4]    ; CLOCK_50                        ; 5.667  ; 5.667  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
648
;  DRAM_DQ[5]    ; CLOCK_50                        ; 6.298  ; 6.298  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
649
;  DRAM_DQ[6]    ; CLOCK_50                        ; 6.238  ; 6.238  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
650
;  DRAM_DQ[7]    ; CLOCK_50                        ; 5.524  ; 5.524  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
651
;  DRAM_DQ[8]    ; CLOCK_50                        ; 5.883  ; 5.883  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
652
;  DRAM_DQ[9]    ; CLOCK_50                        ; 5.883  ; 5.883  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
653
;  DRAM_DQ[10]   ; CLOCK_50                        ; 5.874  ; 5.874  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
654
;  DRAM_DQ[11]   ; CLOCK_50                        ; 5.874  ; 5.874  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
655
;  DRAM_DQ[12]   ; CLOCK_50                        ; 5.902  ; 5.902  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
656
;  DRAM_DQ[13]   ; CLOCK_50                        ; 5.538  ; 5.538  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
657
;  DRAM_DQ[14]   ; CLOCK_50                        ; 5.846  ; 5.846  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
658
;  DRAM_DQ[15]   ; CLOCK_50                        ; 5.820  ; 5.820  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
659
; DRAM_LDQM      ; CLOCK_50                        ; 6.348  ; 6.348  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
660
; DRAM_RAS_N     ; CLOCK_50                        ; 5.046  ; 5.046  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
661
; DRAM_UDQM      ; CLOCK_50                        ; 6.723  ; 6.723  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
662
; DRAM_WE_N      ; CLOCK_50                        ; 4.620  ; 4.620  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
663
; DRAM_CLK       ; CLOCK_50                        ; 1.072  ;        ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
664
; DRAM_CLK       ; CLOCK_50                        ;        ; 1.072  ; Fall       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
665
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
666
 
667
 
668
+--------------------------------------------------------------------------------------------------------------------------------+
669
; Minimum Clock to Output Times                                                                                                  ;
670
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
671
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                              ;
672
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
673
; AUD_ADCLRCK    ; AUDIO_DAC:u11|LRCK_1X           ; 7.897  ;        ; Rise       ; AUDIO_DAC:u11|LRCK_1X                        ;
674
; AUD_DACLRCK    ; AUDIO_DAC:u11|LRCK_1X           ; 7.907  ;        ; Rise       ; AUDIO_DAC:u11|LRCK_1X                        ;
675
; AUD_ADCLRCK    ; AUDIO_DAC:u11|LRCK_1X           ;        ; 7.897  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
676
; AUD_DACDAT     ; AUDIO_DAC:u11|LRCK_1X           ; 11.735 ; 11.735 ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
677
; AUD_DACLRCK    ; AUDIO_DAC:u11|LRCK_1X           ;        ; 7.907  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
678
; AUD_DACDAT     ; AUDIO_DAC:u11|LRCK_2X           ; 12.772 ; 12.772 ; Fall       ; AUDIO_DAC:u11|LRCK_2X                        ;
679
; AUD_BCLK       ; AUDIO_DAC:u11|oAUD_BCK          ; 7.961  ;        ; Rise       ; AUDIO_DAC:u11|oAUD_BCK                       ;
680
; AUD_BCLK       ; AUDIO_DAC:u11|oAUD_BCK          ;        ; 7.961  ; Fall       ; AUDIO_DAC:u11|oAUD_BCK                       ;
681
; AUD_DACDAT     ; AUDIO_DAC:u11|oAUD_BCK          ; 13.731 ; 13.731 ; Fall       ; AUDIO_DAC:u11|oAUD_BCK                       ;
682
; FL_ADDR[*]     ; CLOCK_50                        ; 8.111  ; 8.111  ; Rise       ; CLOCK_50                                     ;
683
;  FL_ADDR[0]    ; CLOCK_50                        ; 9.851  ; 9.851  ; Rise       ; CLOCK_50                                     ;
684
;  FL_ADDR[1]    ; CLOCK_50                        ; 9.428  ; 9.428  ; Rise       ; CLOCK_50                                     ;
685
;  FL_ADDR[2]    ; CLOCK_50                        ; 8.111  ; 8.111  ; Rise       ; CLOCK_50                                     ;
686
;  FL_ADDR[3]    ; CLOCK_50                        ; 9.072  ; 9.072  ; Rise       ; CLOCK_50                                     ;
687
;  FL_ADDR[4]    ; CLOCK_50                        ; 8.522  ; 8.522  ; Rise       ; CLOCK_50                                     ;
688
;  FL_ADDR[5]    ; CLOCK_50                        ; 9.857  ; 9.857  ; Rise       ; CLOCK_50                                     ;
689
;  FL_ADDR[6]    ; CLOCK_50                        ; 9.215  ; 9.215  ; Rise       ; CLOCK_50                                     ;
690
;  FL_ADDR[7]    ; CLOCK_50                        ; 8.777  ; 8.777  ; Rise       ; CLOCK_50                                     ;
691
;  FL_ADDR[8]    ; CLOCK_50                        ; 9.303  ; 9.303  ; Rise       ; CLOCK_50                                     ;
692
;  FL_ADDR[9]    ; CLOCK_50                        ; 8.713  ; 8.713  ; Rise       ; CLOCK_50                                     ;
693
;  FL_ADDR[10]   ; CLOCK_50                        ; 9.777  ; 9.777  ; Rise       ; CLOCK_50                                     ;
694
;  FL_ADDR[11]   ; CLOCK_50                        ; 8.978  ; 8.978  ; Rise       ; CLOCK_50                                     ;
695
;  FL_ADDR[12]   ; CLOCK_50                        ; 9.067  ; 9.067  ; Rise       ; CLOCK_50                                     ;
696
;  FL_ADDR[13]   ; CLOCK_50                        ; 9.200  ; 9.200  ; Rise       ; CLOCK_50                                     ;
697
;  FL_ADDR[14]   ; CLOCK_50                        ; 8.976  ; 8.976  ; Rise       ; CLOCK_50                                     ;
698
;  FL_ADDR[15]   ; CLOCK_50                        ; 9.209  ; 9.209  ; Rise       ; CLOCK_50                                     ;
699
;  FL_ADDR[16]   ; CLOCK_50                        ; 9.484  ; 9.484  ; Rise       ; CLOCK_50                                     ;
700
;  FL_ADDR[17]   ; CLOCK_50                        ; 9.383  ; 9.383  ; Rise       ; CLOCK_50                                     ;
701
;  FL_ADDR[18]   ; CLOCK_50                        ; 8.923  ; 8.923  ; Rise       ; CLOCK_50                                     ;
702
;  FL_ADDR[19]   ; CLOCK_50                        ; 9.295  ; 9.295  ; Rise       ; CLOCK_50                                     ;
703
;  FL_ADDR[20]   ; CLOCK_50                        ; 9.257  ; 9.257  ; Rise       ; CLOCK_50                                     ;
704
;  FL_ADDR[21]   ; CLOCK_50                        ; 9.039  ; 9.039  ; Rise       ; CLOCK_50                                     ;
705
; FL_CE_N        ; CLOCK_50                        ; 7.575  ; 7.575  ; Rise       ; CLOCK_50                                     ;
706
; FL_DQ[*]       ; CLOCK_50                        ; 8.044  ; 8.044  ; Rise       ; CLOCK_50                                     ;
707
;  FL_DQ[0]      ; CLOCK_50                        ; 8.591  ; 8.591  ; Rise       ; CLOCK_50                                     ;
708
;  FL_DQ[1]      ; CLOCK_50                        ; 8.044  ; 8.044  ; Rise       ; CLOCK_50                                     ;
709
;  FL_DQ[2]      ; CLOCK_50                        ; 8.044  ; 8.044  ; Rise       ; CLOCK_50                                     ;
710
;  FL_DQ[3]      ; CLOCK_50                        ; 8.328  ; 8.328  ; Rise       ; CLOCK_50                                     ;
711
;  FL_DQ[4]      ; CLOCK_50                        ; 8.642  ; 8.642  ; Rise       ; CLOCK_50                                     ;
712
;  FL_DQ[5]      ; CLOCK_50                        ; 8.647  ; 8.647  ; Rise       ; CLOCK_50                                     ;
713
;  FL_DQ[6]      ; CLOCK_50                        ; 9.171  ; 9.171  ; Rise       ; CLOCK_50                                     ;
714
;  FL_DQ[7]      ; CLOCK_50                        ; 9.161  ; 9.161  ; Rise       ; CLOCK_50                                     ;
715
; FL_OE_N        ; CLOCK_50                        ; 8.623  ; 8.623  ; Rise       ; CLOCK_50                                     ;
716
; FL_WE_N        ; CLOCK_50                        ; 8.573  ; 8.573  ; Rise       ; CLOCK_50                                     ;
717
; HEX0[*]        ; CLOCK_50                        ; 8.007  ; 8.007  ; Rise       ; CLOCK_50                                     ;
718
;  HEX0[0]       ; CLOCK_50                        ; 8.694  ; 8.694  ; Rise       ; CLOCK_50                                     ;
719
;  HEX0[1]       ; CLOCK_50                        ; 8.216  ; 8.216  ; Rise       ; CLOCK_50                                     ;
720
;  HEX0[2]       ; CLOCK_50                        ; 9.137  ; 9.137  ; Rise       ; CLOCK_50                                     ;
721
;  HEX0[3]       ; CLOCK_50                        ; 9.034  ; 9.034  ; Rise       ; CLOCK_50                                     ;
722
;  HEX0[4]       ; CLOCK_50                        ; 8.773  ; 8.773  ; Rise       ; CLOCK_50                                     ;
723
;  HEX0[5]       ; CLOCK_50                        ; 8.007  ; 8.007  ; Rise       ; CLOCK_50                                     ;
724
;  HEX0[6]       ; CLOCK_50                        ; 8.878  ; 8.878  ; Rise       ; CLOCK_50                                     ;
725
; HEX1[*]        ; CLOCK_50                        ; 8.103  ; 8.103  ; Rise       ; CLOCK_50                                     ;
726
;  HEX1[0]       ; CLOCK_50                        ; 9.137  ; 9.137  ; Rise       ; CLOCK_50                                     ;
727
;  HEX1[1]       ; CLOCK_50                        ; 9.066  ; 9.066  ; Rise       ; CLOCK_50                                     ;
728
;  HEX1[2]       ; CLOCK_50                        ; 8.549  ; 8.549  ; Rise       ; CLOCK_50                                     ;
729
;  HEX1[3]       ; CLOCK_50                        ; 8.103  ; 8.103  ; Rise       ; CLOCK_50                                     ;
730
;  HEX1[4]       ; CLOCK_50                        ; 9.053  ; 9.053  ; Rise       ; CLOCK_50                                     ;
731
;  HEX1[5]       ; CLOCK_50                        ; 9.325  ; 9.325  ; Rise       ; CLOCK_50                                     ;
732
;  HEX1[6]       ; CLOCK_50                        ; 9.265  ; 9.265  ; Rise       ; CLOCK_50                                     ;
733
; HEX2[*]        ; CLOCK_50                        ; 9.211  ; 9.211  ; Rise       ; CLOCK_50                                     ;
734
;  HEX2[0]       ; CLOCK_50                        ; 9.702  ; 9.702  ; Rise       ; CLOCK_50                                     ;
735
;  HEX2[1]       ; CLOCK_50                        ; 9.568  ; 9.568  ; Rise       ; CLOCK_50                                     ;
736
;  HEX2[2]       ; CLOCK_50                        ; 9.606  ; 9.606  ; Rise       ; CLOCK_50                                     ;
737
;  HEX2[3]       ; CLOCK_50                        ; 9.246  ; 9.246  ; Rise       ; CLOCK_50                                     ;
738
;  HEX2[4]       ; CLOCK_50                        ; 9.211  ; 9.211  ; Rise       ; CLOCK_50                                     ;
739
;  HEX2[5]       ; CLOCK_50                        ; 9.287  ; 9.287  ; Rise       ; CLOCK_50                                     ;
740
;  HEX2[6]       ; CLOCK_50                        ; 9.211  ; 9.211  ; Rise       ; CLOCK_50                                     ;
741
; HEX3[*]        ; CLOCK_50                        ; 8.326  ; 8.326  ; Rise       ; CLOCK_50                                     ;
742
;  HEX3[0]       ; CLOCK_50                        ; 9.589  ; 9.589  ; Rise       ; CLOCK_50                                     ;
743
;  HEX3[1]       ; CLOCK_50                        ; 9.628  ; 9.628  ; Rise       ; CLOCK_50                                     ;
744
;  HEX3[2]       ; CLOCK_50                        ; 9.600  ; 9.600  ; Rise       ; CLOCK_50                                     ;
745
;  HEX3[3]       ; CLOCK_50                        ; 8.818  ; 8.818  ; Rise       ; CLOCK_50                                     ;
746
;  HEX3[4]       ; CLOCK_50                        ; 8.488  ; 8.488  ; Rise       ; CLOCK_50                                     ;
747
;  HEX3[5]       ; CLOCK_50                        ; 8.326  ; 8.326  ; Rise       ; CLOCK_50                                     ;
748
;  HEX3[6]       ; CLOCK_50                        ; 9.401  ; 9.401  ; Rise       ; CLOCK_50                                     ;
749
; LEDG[*]        ; CLOCK_50                        ; 8.003  ; 8.003  ; Rise       ; CLOCK_50                                     ;
750
;  LEDG[0]       ; CLOCK_50                        ; 8.003  ; 8.003  ; Rise       ; CLOCK_50                                     ;
751
;  LEDG[1]       ; CLOCK_50                        ; 8.324  ; 8.324  ; Rise       ; CLOCK_50                                     ;
752
;  LEDG[2]       ; CLOCK_50                        ; 8.357  ; 8.357  ; Rise       ; CLOCK_50                                     ;
753
;  LEDG[3]       ; CLOCK_50                        ; 8.331  ; 8.331  ; Rise       ; CLOCK_50                                     ;
754
;  LEDG[4]       ; CLOCK_50                        ; 8.434  ; 8.434  ; Rise       ; CLOCK_50                                     ;
755
;  LEDG[5]       ; CLOCK_50                        ; 8.024  ; 8.024  ; Rise       ; CLOCK_50                                     ;
756
;  LEDG[6]       ; CLOCK_50                        ; 8.408  ; 8.408  ; Rise       ; CLOCK_50                                     ;
757
;  LEDG[7]       ; CLOCK_50                        ; 8.382  ; 8.382  ; Rise       ; CLOCK_50                                     ;
758
; LEDR[*]        ; CLOCK_50                        ; 7.980  ; 7.980  ; Rise       ; CLOCK_50                                     ;
759
;  LEDR[0]       ; CLOCK_50                        ; 7.980  ; 7.980  ; Rise       ; CLOCK_50                                     ;
760
;  LEDR[1]       ; CLOCK_50                        ; 8.008  ; 8.008  ; Rise       ; CLOCK_50                                     ;
761
;  LEDR[2]       ; CLOCK_50                        ; 8.365  ; 8.365  ; Rise       ; CLOCK_50                                     ;
762
;  LEDR[3]       ; CLOCK_50                        ; 8.727  ; 8.727  ; Rise       ; CLOCK_50                                     ;
763
;  LEDR[4]       ; CLOCK_50                        ; 8.900  ; 8.900  ; Rise       ; CLOCK_50                                     ;
764
;  LEDR[5]       ; CLOCK_50                        ; 8.700  ; 8.700  ; Rise       ; CLOCK_50                                     ;
765
;  LEDR[6]       ; CLOCK_50                        ; 8.486  ; 8.486  ; Rise       ; CLOCK_50                                     ;
766
;  LEDR[7]       ; CLOCK_50                        ; 8.572  ; 8.572  ; Rise       ; CLOCK_50                                     ;
767
;  LEDR[8]       ; CLOCK_50                        ; 8.864  ; 8.864  ; Rise       ; CLOCK_50                                     ;
768
;  LEDR[9]       ; CLOCK_50                        ; 8.876  ; 8.876  ; Rise       ; CLOCK_50                                     ;
769
; SRAM_ADDR[*]   ; CLOCK_50                        ; 8.274  ; 8.274  ; Rise       ; CLOCK_50                                     ;
770
;  SRAM_ADDR[0]  ; CLOCK_50                        ; 10.087 ; 10.087 ; Rise       ; CLOCK_50                                     ;
771
;  SRAM_ADDR[1]  ; CLOCK_50                        ; 10.122 ; 10.122 ; Rise       ; CLOCK_50                                     ;
772
;  SRAM_ADDR[2]  ; CLOCK_50                        ; 9.855  ; 9.855  ; Rise       ; CLOCK_50                                     ;
773
;  SRAM_ADDR[3]  ; CLOCK_50                        ; 9.678  ; 9.678  ; Rise       ; CLOCK_50                                     ;
774
;  SRAM_ADDR[4]  ; CLOCK_50                        ; 9.863  ; 9.863  ; Rise       ; CLOCK_50                                     ;
775
;  SRAM_ADDR[5]  ; CLOCK_50                        ; 8.274  ; 8.274  ; Rise       ; CLOCK_50                                     ;
776
;  SRAM_ADDR[6]  ; CLOCK_50                        ; 8.697  ; 8.697  ; Rise       ; CLOCK_50                                     ;
777
;  SRAM_ADDR[7]  ; CLOCK_50                        ; 8.345  ; 8.345  ; Rise       ; CLOCK_50                                     ;
778
;  SRAM_ADDR[8]  ; CLOCK_50                        ; 8.506  ; 8.506  ; Rise       ; CLOCK_50                                     ;
779
;  SRAM_ADDR[9]  ; CLOCK_50                        ; 8.860  ; 8.860  ; Rise       ; CLOCK_50                                     ;
780
;  SRAM_ADDR[10] ; CLOCK_50                        ; 8.894  ; 8.894  ; Rise       ; CLOCK_50                                     ;
781
;  SRAM_ADDR[11] ; CLOCK_50                        ; 8.865  ; 8.865  ; Rise       ; CLOCK_50                                     ;
782
;  SRAM_ADDR[12] ; CLOCK_50                        ; 9.144  ; 9.144  ; Rise       ; CLOCK_50                                     ;
783
;  SRAM_ADDR[13] ; CLOCK_50                        ; 9.463  ; 9.463  ; Rise       ; CLOCK_50                                     ;
784
;  SRAM_ADDR[14] ; CLOCK_50                        ; 9.339  ; 9.339  ; Rise       ; CLOCK_50                                     ;
785
;  SRAM_ADDR[15] ; CLOCK_50                        ; 9.379  ; 9.379  ; Rise       ; CLOCK_50                                     ;
786
;  SRAM_ADDR[16] ; CLOCK_50                        ; 10.153 ; 10.153 ; Rise       ; CLOCK_50                                     ;
787
;  SRAM_ADDR[17] ; CLOCK_50                        ; 10.011 ; 10.011 ; Rise       ; CLOCK_50                                     ;
788
; SRAM_DQ[*]     ; CLOCK_50                        ; 8.289  ; 8.289  ; Rise       ; CLOCK_50                                     ;
789
;  SRAM_DQ[0]    ; CLOCK_50                        ; 8.604  ; 8.604  ; Rise       ; CLOCK_50                                     ;
790
;  SRAM_DQ[1]    ; CLOCK_50                        ; 8.590  ; 8.590  ; Rise       ; CLOCK_50                                     ;
791
;  SRAM_DQ[2]    ; CLOCK_50                        ; 9.453  ; 9.453  ; Rise       ; CLOCK_50                                     ;
792
;  SRAM_DQ[3]    ; CLOCK_50                        ; 8.807  ; 8.807  ; Rise       ; CLOCK_50                                     ;
793
;  SRAM_DQ[4]    ; CLOCK_50                        ; 8.575  ; 8.575  ; Rise       ; CLOCK_50                                     ;
794
;  SRAM_DQ[5]    ; CLOCK_50                        ; 8.572  ; 8.572  ; Rise       ; CLOCK_50                                     ;
795
;  SRAM_DQ[6]    ; CLOCK_50                        ; 8.289  ; 8.289  ; Rise       ; CLOCK_50                                     ;
796
;  SRAM_DQ[7]    ; CLOCK_50                        ; 8.576  ; 8.576  ; Rise       ; CLOCK_50                                     ;
797
;  SRAM_DQ[8]    ; CLOCK_50                        ; 8.816  ; 8.816  ; Rise       ; CLOCK_50                                     ;
798
;  SRAM_DQ[9]    ; CLOCK_50                        ; 8.492  ; 8.492  ; Rise       ; CLOCK_50                                     ;
799
;  SRAM_DQ[10]   ; CLOCK_50                        ; 8.842  ; 8.842  ; Rise       ; CLOCK_50                                     ;
800
;  SRAM_DQ[11]   ; CLOCK_50                        ; 8.810  ; 8.810  ; Rise       ; CLOCK_50                                     ;
801
;  SRAM_DQ[12]   ; CLOCK_50                        ; 8.594  ; 8.594  ; Rise       ; CLOCK_50                                     ;
802
;  SRAM_DQ[13]   ; CLOCK_50                        ; 8.821  ; 8.821  ; Rise       ; CLOCK_50                                     ;
803
;  SRAM_DQ[14]   ; CLOCK_50                        ; 9.302  ; 9.302  ; Rise       ; CLOCK_50                                     ;
804
;  SRAM_DQ[15]   ; CLOCK_50                        ; 8.855  ; 8.855  ; Rise       ; CLOCK_50                                     ;
805
; SRAM_OE_N      ; CLOCK_50                        ; 11.145 ; 11.145 ; Rise       ; CLOCK_50                                     ;
806
; SRAM_WE_N      ; CLOCK_50                        ; 9.216  ; 9.216  ; Rise       ; CLOCK_50                                     ;
807
; I2C_SCLK       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 9.909  ; 6.344  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
808
; I2C_SDAT       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 8.797  ; 8.797  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
809
; I2C_SCLK       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 6.344  ;        ; Fall       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
810
; TDO            ; TCK                             ; 7.369  ; 7.369  ; Rise       ; TCK                                          ;
811
; SRAM_ADDR[*]   ; CLOCK_27[0]                     ; 6.460  ; 6.460  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
812
;  SRAM_ADDR[0]  ; CLOCK_27[0]                     ; 8.683  ; 8.683  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
813
;  SRAM_ADDR[1]  ; CLOCK_27[0]                     ; 8.702  ; 8.702  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
814
;  SRAM_ADDR[2]  ; CLOCK_27[0]                     ; 8.037  ; 8.037  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
815
;  SRAM_ADDR[3]  ; CLOCK_27[0]                     ; 8.243  ; 8.243  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
816
;  SRAM_ADDR[4]  ; CLOCK_27[0]                     ; 9.386  ; 9.386  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
817
;  SRAM_ADDR[5]  ; CLOCK_27[0]                     ; 6.460  ; 6.460  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
818
;  SRAM_ADDR[6]  ; CLOCK_27[0]                     ; 7.288  ; 7.288  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
819
;  SRAM_ADDR[7]  ; CLOCK_27[0]                     ; 6.541  ; 6.541  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
820
;  SRAM_ADDR[8]  ; CLOCK_27[0]                     ; 7.088  ; 7.088  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
821
;  SRAM_ADDR[9]  ; CLOCK_27[0]                     ; 7.422  ; 7.422  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
822
;  SRAM_ADDR[10] ; CLOCK_27[0]                     ; 7.436  ; 7.436  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
823
;  SRAM_ADDR[11] ; CLOCK_27[0]                     ; 7.729  ; 7.729  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
824
;  SRAM_ADDR[12] ; CLOCK_27[0]                     ; 7.725  ; 7.725  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
825
;  SRAM_ADDR[13] ; CLOCK_27[0]                     ; 7.976  ; 7.976  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
826
;  SRAM_ADDR[14] ; CLOCK_27[0]                     ; 8.634  ; 8.634  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
827
;  SRAM_ADDR[15] ; CLOCK_27[0]                     ; 8.669  ; 8.669  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
828
;  SRAM_ADDR[16] ; CLOCK_27[0]                     ; 8.794  ; 8.794  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
829
;  SRAM_ADDR[17] ; CLOCK_27[0]                     ; 8.541  ; 8.541  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
830
; VGA_B[*]       ; CLOCK_27[0]                     ; 5.908  ; 5.908  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
831
;  VGA_B[0]      ; CLOCK_27[0]                     ; 7.522  ; 7.522  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
832
;  VGA_B[1]      ; CLOCK_27[0]                     ; 7.145  ; 7.145  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
833
;  VGA_B[2]      ; CLOCK_27[0]                     ; 7.533  ; 7.533  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
834
;  VGA_B[3]      ; CLOCK_27[0]                     ; 5.908  ; 5.908  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
835
; VGA_G[*]       ; CLOCK_27[0]                     ; 6.988  ; 6.988  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
836
;  VGA_G[0]      ; CLOCK_27[0]                     ; 7.299  ; 7.299  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
837
;  VGA_G[1]      ; CLOCK_27[0]                     ; 7.730  ; 7.730  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
838
;  VGA_G[2]      ; CLOCK_27[0]                     ; 6.988  ; 6.988  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
839
;  VGA_G[3]      ; CLOCK_27[0]                     ; 8.367  ; 8.367  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
840
; VGA_HS         ; CLOCK_27[0]                     ; 5.757  ; 5.757  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
841
; VGA_R[*]       ; CLOCK_27[0]                     ; 7.429  ; 7.429  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
842
;  VGA_R[0]      ; CLOCK_27[0]                     ; 7.868  ; 7.868  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
843
;  VGA_R[1]      ; CLOCK_27[0]                     ; 8.287  ; 8.287  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
844
;  VGA_R[2]      ; CLOCK_27[0]                     ; 8.016  ; 8.016  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
845
;  VGA_R[3]      ; CLOCK_27[0]                     ; 7.429  ; 7.429  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
846
; VGA_VS         ; CLOCK_27[0]                     ; 5.878  ; 5.878  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
847
; AUD_XCK        ; CLOCK_27[0]                     ; 2.904  ;        ; Rise       ; p1|altpll_component|pll|clk[1]               ;
848
; AUD_XCK        ; CLOCK_27[0]                     ;        ; 2.904  ; Fall       ; p1|altpll_component|pll|clk[1]               ;
849
; DRAM_ADDR[*]   ; CLOCK_50                        ; 4.594  ; 4.594  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
850
;  DRAM_ADDR[0]  ; CLOCK_50                        ; 5.322  ; 5.322  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
851
;  DRAM_ADDR[1]  ; CLOCK_50                        ; 5.359  ; 5.359  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
852
;  DRAM_ADDR[2]  ; CLOCK_50                        ; 5.419  ; 5.419  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
853
;  DRAM_ADDR[3]  ; CLOCK_50                        ; 5.340  ; 5.340  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
854
;  DRAM_ADDR[4]  ; CLOCK_50                        ; 4.925  ; 4.925  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
855
;  DRAM_ADDR[5]  ; CLOCK_50                        ; 4.948  ; 4.948  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
856
;  DRAM_ADDR[6]  ; CLOCK_50                        ; 4.919  ; 4.919  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
857
;  DRAM_ADDR[7]  ; CLOCK_50                        ; 4.910  ; 4.910  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
858
;  DRAM_ADDR[8]  ; CLOCK_50                        ; 4.594  ; 4.594  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
859
;  DRAM_ADDR[9]  ; CLOCK_50                        ; 4.600  ; 4.600  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
860
;  DRAM_ADDR[10] ; CLOCK_50                        ; 5.323  ; 5.323  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
861
;  DRAM_ADDR[11] ; CLOCK_50                        ; 4.929  ; 4.929  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
862
; DRAM_BA_0      ; CLOCK_50                        ; 5.621  ; 5.621  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
863
; DRAM_BA_1      ; CLOCK_50                        ; 5.746  ; 5.746  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
864
; DRAM_CAS_N     ; CLOCK_50                        ; 5.307  ; 5.307  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
865
; DRAM_CKE       ; CLOCK_50                        ; 5.319  ; 5.319  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
866
; DRAM_CS_N      ; CLOCK_50                        ; 5.587  ; 5.587  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
867
; DRAM_DQ[*]     ; CLOCK_50                        ; 4.647  ; 4.647  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
868
;  DRAM_DQ[0]    ; CLOCK_50                        ; 5.507  ; 5.507  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
869
;  DRAM_DQ[1]    ; CLOCK_50                        ; 5.507  ; 5.507  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
870
;  DRAM_DQ[2]    ; CLOCK_50                        ; 5.167  ; 5.167  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
871
;  DRAM_DQ[3]    ; CLOCK_50                        ; 5.167  ; 5.167  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
872
;  DRAM_DQ[4]    ; CLOCK_50                        ; 5.514  ; 5.514  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
873
;  DRAM_DQ[5]    ; CLOCK_50                        ; 5.484  ; 5.484  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
874
;  DRAM_DQ[6]    ; CLOCK_50                        ; 5.524  ; 5.524  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
875
;  DRAM_DQ[7]    ; CLOCK_50                        ; 5.134  ; 5.134  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
876
;  DRAM_DQ[8]    ; CLOCK_50                        ; 4.647  ; 4.647  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
877
;  DRAM_DQ[9]    ; CLOCK_50                        ; 5.388  ; 5.388  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
878
;  DRAM_DQ[10]   ; CLOCK_50                        ; 5.200  ; 5.200  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
879
;  DRAM_DQ[11]   ; CLOCK_50                        ; 5.789  ; 5.789  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
880
;  DRAM_DQ[12]   ; CLOCK_50                        ; 5.513  ; 5.513  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
881
;  DRAM_DQ[13]   ; CLOCK_50                        ; 5.513  ; 5.513  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
882
;  DRAM_DQ[14]   ; CLOCK_50                        ; 5.513  ; 5.513  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
883
;  DRAM_DQ[15]   ; CLOCK_50                        ; 5.513  ; 5.513  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
884
; DRAM_LDQM      ; CLOCK_50                        ; 6.348  ; 6.348  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
885
; DRAM_RAS_N     ; CLOCK_50                        ; 5.046  ; 5.046  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
886
; DRAM_UDQM      ; CLOCK_50                        ; 6.723  ; 6.723  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
887
; DRAM_WE_N      ; CLOCK_50                        ; 4.620  ; 4.620  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
888
; DRAM_CLK       ; CLOCK_50                        ; 1.072  ;        ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
889
; DRAM_CLK       ; CLOCK_50                        ;        ; 1.072  ; Fall       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
890
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
891
 
892
 
893
+--------------------------------------------------------------+
894
; Propagation Delay                                            ;
895
+------------+-------------+--------+--------+--------+--------+
896
; Input Port ; Output Port ; RR     ; RF     ; FR     ; FF     ;
897
+------------+-------------+--------+--------+--------+--------+
898
; SW[0]      ; AUD_DACDAT  ; 11.020 ; 11.758 ; 11.758 ; 11.020 ;
899
; SW[1]      ; AUD_DACDAT  ;        ; 11.398 ; 11.398 ;        ;
900
+------------+-------------+--------+--------+--------+--------+
901
 
902
 
903
+--------------------------------------------------------------+
904
; Minimum Propagation Delay                                    ;
905
+------------+-------------+--------+--------+--------+--------+
906
; Input Port ; Output Port ; RR     ; RF     ; FR     ; FF     ;
907
+------------+-------------+--------+--------+--------+--------+
908
; SW[0]      ; AUD_DACDAT  ; 11.020 ; 10.601 ; 10.601 ; 11.020 ;
909
; SW[1]      ; AUD_DACDAT  ;        ; 10.241 ; 10.241 ;        ;
910
+------------+-------------+--------+--------+--------+--------+
911
 
912
 
913
+-----------------------------------------------------------------------+
914
; Fast Model Setup Summary                                              ;
915
+----------------------------------------------+--------+---------------+
916
; Clock                                        ; Slack  ; End Point TNS ;
917
+----------------------------------------------+--------+---------------+
918
; p1|altpll_component|pll|clk[0]               ; -4.123 ; -47.614       ;
919
; TCK                                          ; -1.188 ; -1.216        ;
920
; AUDIO_DAC:u11|LRCK_4X                        ; -0.857 ; -22.460       ;
921
; p1|altpll_component|pll|clk[1]               ; -0.493 ; -1.956        ;
922
; I2C_AV_Config:u10|mI2C_CTRL_CLK              ; -0.456 ; -5.870        ;
923
; AUDIO_DAC:u11|LRCK_2X                        ; -0.221 ; -0.692        ;
924
; CLOCK_50                                     ; -0.193 ; -0.496        ;
925
; AUDIO_DAC:u11|LRCK_1X                        ; 0.029  ; 0.000         ;
926
; USB_JTAG:u1|mTCK                             ; 0.071  ; 0.000         ;
927
; AUDIO_DAC:u11|oAUD_BCK                       ; 0.418  ; 0.000         ;
928
; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; 15.989 ; 0.000         ;
929
+----------------------------------------------+--------+---------------+
930
 
931
 
932
+-----------------------------------------------------------------------+
933
; Fast Model Hold Summary                                               ;
934
+----------------------------------------------+--------+---------------+
935
; Clock                                        ; Slack  ; End Point TNS ;
936
+----------------------------------------------+--------+---------------+
937
; CLOCK_50                                     ; -1.811 ; -29.851       ;
938
; TCK                                          ; 0.148  ; 0.000         ;
939
; AUDIO_DAC:u11|LRCK_1X                        ; 0.215  ; 0.000         ;
940
; AUDIO_DAC:u11|LRCK_4X                        ; 0.215  ; 0.000         ;
941
; AUDIO_DAC:u11|oAUD_BCK                       ; 0.215  ; 0.000         ;
942
; I2C_AV_Config:u10|mI2C_CTRL_CLK              ; 0.215  ; 0.000         ;
943
; USB_JTAG:u1|mTCK                             ; 0.215  ; 0.000         ;
944
; p1|altpll_component|pll|clk[0]               ; 0.215  ; 0.000         ;
945
; p1|altpll_component|pll|clk[1]               ; 0.215  ; 0.000         ;
946
; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; 0.215  ; 0.000         ;
947
; AUDIO_DAC:u11|LRCK_2X                        ; 0.805  ; 0.000         ;
948
+----------------------------------------------+--------+---------------+
949
 
950
 
951
+---------------------------------------------------------+
952
; Fast Model Recovery Summary                             ;
953
+--------------------------------+--------+---------------+
954
; Clock                          ; Slack  ; End Point TNS ;
955
+--------------------------------+--------+---------------+
956
; p1|altpll_component|pll|clk[0] ; -3.677 ; -264.018      ;
957
; p1|altpll_component|pll|clk[1] ; -3.379 ; -104.241      ;
958
; AUDIO_DAC:u11|LRCK_4X          ; -1.334 ; -39.561       ;
959
; AUDIO_DAC:u11|LRCK_2X          ; -1.264 ; -19.520       ;
960
; AUDIO_DAC:u11|LRCK_1X          ; -1.079 ; -6.474        ;
961
; AUDIO_DAC:u11|oAUD_BCK         ; -1.071 ; -7.497        ;
962
+--------------------------------+--------+---------------+
963
 
964
 
965
+--------------------------------------------------------+
966
; Fast Model Removal Summary                             ;
967
+--------------------------------+-------+---------------+
968
; Clock                          ; Slack ; End Point TNS ;
969
+--------------------------------+-------+---------------+
970
; AUDIO_DAC:u11|LRCK_4X          ; 1.458 ; 0.000         ;
971
; AUDIO_DAC:u11|oAUD_BCK         ; 1.951 ; 0.000         ;
972
; AUDIO_DAC:u11|LRCK_1X          ; 1.959 ; 0.000         ;
973
; AUDIO_DAC:u11|LRCK_2X          ; 2.025 ; 0.000         ;
974
; p1|altpll_component|pll|clk[1] ; 3.242 ; 0.000         ;
975
; p1|altpll_component|pll|clk[0] ; 3.466 ; 0.000         ;
976
+--------------------------------+-------+---------------+
977
 
978
 
979
+----------------------------------------------------------------------------------------------------------------------------------+
980
; Fast Model Minimum Pulse Width                                                                                                   ;
981
+--------+--------------+----------------+------------------+-----------------------+------------+---------------------------------+
982
; Slack  ; Actual Width ; Required Width ; Type             ; Clock                 ; Clock Edge ; Target                          ;
983
+--------+--------------+----------------+------------------+-----------------------+------------+---------------------------------+
984
; -1.222 ; 1.000        ; 2.222          ; Port Rate        ; TCK                   ; Rise       ; TCK                             ;
985
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[0]     ;
986
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[0]     ;
987
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[10]    ;
988
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[10]    ;
989
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[11]    ;
990
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[11]    ;
991
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[12]    ;
992
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[12]    ;
993
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[13]    ;
994
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[13]    ;
995
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[14]    ;
996
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[14]    ;
997
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[15]    ;
998
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[15]    ;
999
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[16]    ;
1000
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[16]    ;
1001
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[17]    ;
1002
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[17]    ;
1003
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[18]    ;
1004
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[18]    ;
1005
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[19]    ;
1006
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[19]    ;
1007
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[1]     ;
1008
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[1]     ;
1009
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[20]    ;
1010
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[20]    ;
1011
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[21]    ;
1012
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[21]    ;
1013
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[2]     ;
1014
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[2]     ;
1015
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[3]     ;
1016
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[3]     ;
1017
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[4]     ;
1018
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[4]     ;
1019
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[5]     ;
1020
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[5]     ;
1021
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[6]     ;
1022
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[6]     ;
1023
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[7]     ;
1024
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[7]     ;
1025
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[8]     ;
1026
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[8]     ;
1027
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[9]     ;
1028
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Fall       ; AUDIO_DAC:u11|FLASH_Cont[9]     ;
1029
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[0]      ;
1030
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[0]      ;
1031
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[10]     ;
1032
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[10]     ;
1033
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[11]     ;
1034
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[11]     ;
1035
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[12]     ;
1036
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[12]     ;
1037
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[13]     ;
1038
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[13]     ;
1039
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[14]     ;
1040
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[14]     ;
1041
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[15]     ;
1042
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[15]     ;
1043
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[1]      ;
1044
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[1]      ;
1045
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[2]      ;
1046
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[2]      ;
1047
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[3]      ;
1048
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[3]      ;
1049
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[4]      ;
1050
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[4]      ;
1051
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[5]      ;
1052
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[5]      ;
1053
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[6]      ;
1054
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[6]      ;
1055
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[7]      ;
1056
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[7]      ;
1057
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[8]      ;
1058
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[8]      ;
1059
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[9]      ;
1060
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_2X ; Fall       ; AUDIO_DAC:u11|FLASH_Out[9]      ;
1061
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[0]  ;
1062
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[0]  ;
1063
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[10] ;
1064
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[10] ;
1065
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[11] ;
1066
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[11] ;
1067
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[12] ;
1068
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[12] ;
1069
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[13] ;
1070
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[13] ;
1071
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[14] ;
1072
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[14] ;
1073
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[15] ;
1074
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[15] ;
1075
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[1]  ;
1076
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[1]  ;
1077
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[2]  ;
1078
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[2]  ;
1079
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[3]  ;
1080
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[3]  ;
1081
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[4]  ;
1082
; -0.500 ; 0.500        ; 1.000          ; Low Pulse Width  ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[4]  ;
1083
; -0.500 ; 0.500        ; 1.000          ; High Pulse Width ; AUDIO_DAC:u11|LRCK_4X ; Rise       ; AUDIO_DAC:u11|FLASH_Out_Tmp[5]  ;
1084
+--------+--------------+----------------+------------------+-----------------------+------------+---------------------------------+
1085
 
1086
 
1087
+----------------------------------------------------------------------------------------------------------------------------+
1088
; Setup Times                                                                                                                ;
1089
+--------------+---------------------------------+-------+-------+------------+----------------------------------------------+
1090
; Data Port    ; Clock Port                      ; Rise  ; Fall  ; Clock Edge ; Clock Reference                              ;
1091
+--------------+---------------------------------+-------+-------+------------+----------------------------------------------+
1092
; FL_DQ[*]     ; CLOCK_50                        ; 2.185 ; 2.185 ; Rise       ; CLOCK_50                                     ;
1093
;  FL_DQ[0]    ; CLOCK_50                        ; 1.930 ; 1.930 ; Rise       ; CLOCK_50                                     ;
1094
;  FL_DQ[1]    ; CLOCK_50                        ; 1.970 ; 1.970 ; Rise       ; CLOCK_50                                     ;
1095
;  FL_DQ[2]    ; CLOCK_50                        ; 1.845 ; 1.845 ; Rise       ; CLOCK_50                                     ;
1096
;  FL_DQ[3]    ; CLOCK_50                        ; 1.905 ; 1.905 ; Rise       ; CLOCK_50                                     ;
1097
;  FL_DQ[4]    ; CLOCK_50                        ; 2.147 ; 2.147 ; Rise       ; CLOCK_50                                     ;
1098
;  FL_DQ[5]    ; CLOCK_50                        ; 2.080 ; 2.080 ; Rise       ; CLOCK_50                                     ;
1099
;  FL_DQ[6]    ; CLOCK_50                        ; 2.185 ; 2.185 ; Rise       ; CLOCK_50                                     ;
1100
;  FL_DQ[7]    ; CLOCK_50                        ; 2.068 ; 2.068 ; Rise       ; CLOCK_50                                     ;
1101
; KEY[*]       ; CLOCK_50                        ; 3.489 ; 3.489 ; Rise       ; CLOCK_50                                     ;
1102
;  KEY[0]      ; CLOCK_50                        ; 3.489 ; 3.489 ; Rise       ; CLOCK_50                                     ;
1103
; PS2_CLK      ; CLOCK_50                        ; 2.125 ; 2.125 ; Rise       ; CLOCK_50                                     ;
1104
; PS2_DAT      ; CLOCK_50                        ; 2.127 ; 2.127 ; Rise       ; CLOCK_50                                     ;
1105
; SRAM_DQ[*]   ; CLOCK_50                        ; 3.258 ; 3.258 ; Rise       ; CLOCK_50                                     ;
1106
;  SRAM_DQ[0]  ; CLOCK_50                        ; 3.049 ; 3.049 ; Rise       ; CLOCK_50                                     ;
1107
;  SRAM_DQ[1]  ; CLOCK_50                        ; 2.872 ; 2.872 ; Rise       ; CLOCK_50                                     ;
1108
;  SRAM_DQ[2]  ; CLOCK_50                        ; 2.576 ; 2.576 ; Rise       ; CLOCK_50                                     ;
1109
;  SRAM_DQ[3]  ; CLOCK_50                        ; 2.937 ; 2.937 ; Rise       ; CLOCK_50                                     ;
1110
;  SRAM_DQ[4]  ; CLOCK_50                        ; 2.698 ; 2.698 ; Rise       ; CLOCK_50                                     ;
1111
;  SRAM_DQ[5]  ; CLOCK_50                        ; 2.905 ; 2.905 ; Rise       ; CLOCK_50                                     ;
1112
;  SRAM_DQ[6]  ; CLOCK_50                        ; 2.660 ; 2.660 ; Rise       ; CLOCK_50                                     ;
1113
;  SRAM_DQ[7]  ; CLOCK_50                        ; 2.896 ; 2.896 ; Rise       ; CLOCK_50                                     ;
1114
;  SRAM_DQ[8]  ; CLOCK_50                        ; 3.258 ; 3.258 ; Rise       ; CLOCK_50                                     ;
1115
;  SRAM_DQ[9]  ; CLOCK_50                        ; 2.925 ; 2.925 ; Rise       ; CLOCK_50                                     ;
1116
;  SRAM_DQ[10] ; CLOCK_50                        ; 2.473 ; 2.473 ; Rise       ; CLOCK_50                                     ;
1117
;  SRAM_DQ[11] ; CLOCK_50                        ; 2.808 ; 2.808 ; Rise       ; CLOCK_50                                     ;
1118
;  SRAM_DQ[12] ; CLOCK_50                        ; 2.718 ; 2.718 ; Rise       ; CLOCK_50                                     ;
1119
;  SRAM_DQ[13] ; CLOCK_50                        ; 2.679 ; 2.679 ; Rise       ; CLOCK_50                                     ;
1120
;  SRAM_DQ[14] ; CLOCK_50                        ; 2.584 ; 2.584 ; Rise       ; CLOCK_50                                     ;
1121
;  SRAM_DQ[15] ; CLOCK_50                        ; 3.093 ; 3.093 ; Rise       ; CLOCK_50                                     ;
1122
; TCK          ; CLOCK_50                        ; 0.693 ; 0.693 ; Rise       ; CLOCK_50                                     ;
1123
; I2C_SDAT     ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 2.541 ; 2.541 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1124
; KEY[*]       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 2.970 ; 2.970 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1125
;  KEY[0]      ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 2.970 ; 2.970 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1126
; TCS          ; USB_JTAG:u1|mTCK                ; 1.764 ; 1.764 ; Rise       ; USB_JTAG:u1|mTCK                             ;
1127
; TDI          ; USB_JTAG:u1|mTCK                ; 1.387 ; 1.387 ; Rise       ; USB_JTAG:u1|mTCK                             ;
1128
; SRAM_DQ[*]   ; CLOCK_27[0]                     ; 5.767 ; 5.767 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1129
;  SRAM_DQ[4]  ; CLOCK_27[0]                     ; 5.049 ; 5.049 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1130
;  SRAM_DQ[5]  ; CLOCK_27[0]                     ; 5.090 ; 5.090 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1131
;  SRAM_DQ[6]  ; CLOCK_27[0]                     ; 4.955 ; 4.955 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1132
;  SRAM_DQ[7]  ; CLOCK_27[0]                     ; 5.663 ; 5.663 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1133
;  SRAM_DQ[12] ; CLOCK_27[0]                     ; 4.914 ; 4.914 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1134
;  SRAM_DQ[13] ; CLOCK_27[0]                     ; 4.812 ; 4.812 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1135
;  SRAM_DQ[14] ; CLOCK_27[0]                     ; 4.875 ; 4.875 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1136
;  SRAM_DQ[15] ; CLOCK_27[0]                     ; 5.767 ; 5.767 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1137
; DRAM_DQ[*]   ; CLOCK_50                        ; 4.361 ; 4.361 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1138
;  DRAM_DQ[0]  ; CLOCK_50                        ; 4.128 ; 4.128 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1139
;  DRAM_DQ[1]  ; CLOCK_50                        ; 4.099 ; 4.099 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1140
;  DRAM_DQ[2]  ; CLOCK_50                        ; 4.229 ; 4.229 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1141
;  DRAM_DQ[3]  ; CLOCK_50                        ; 4.079 ; 4.079 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1142
;  DRAM_DQ[4]  ; CLOCK_50                        ; 4.273 ; 4.273 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1143
;  DRAM_DQ[5]  ; CLOCK_50                        ; 4.099 ; 4.099 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1144
;  DRAM_DQ[6]  ; CLOCK_50                        ; 4.119 ; 4.119 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1145
;  DRAM_DQ[7]  ; CLOCK_50                        ; 4.361 ; 4.361 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1146
;  DRAM_DQ[8]  ; CLOCK_50                        ; 3.896 ; 3.896 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1147
;  DRAM_DQ[9]  ; CLOCK_50                        ; 3.963 ; 3.963 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1148
;  DRAM_DQ[10] ; CLOCK_50                        ; 3.988 ; 3.988 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1149
;  DRAM_DQ[11] ; CLOCK_50                        ; 3.816 ; 3.816 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1150
;  DRAM_DQ[12] ; CLOCK_50                        ; 4.183 ; 4.183 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1151
;  DRAM_DQ[13] ; CLOCK_50                        ; 3.894 ; 3.894 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1152
;  DRAM_DQ[14] ; CLOCK_50                        ; 4.308 ; 4.308 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1153
;  DRAM_DQ[15] ; CLOCK_50                        ; 4.130 ; 4.130 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1154
; KEY[*]       ; CLOCK_50                        ; 4.741 ; 4.741 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1155
;  KEY[0]      ; CLOCK_50                        ; 4.741 ; 4.741 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1156
+--------------+---------------------------------+-------+-------+------------+----------------------------------------------+
1157
 
1158
 
1159
+------------------------------------------------------------------------------------------------------------------------------+
1160
; Hold Times                                                                                                                   ;
1161
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1162
; Data Port    ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                              ;
1163
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1164
; FL_DQ[*]     ; CLOCK_50                        ; -1.725 ; -1.725 ; Rise       ; CLOCK_50                                     ;
1165
;  FL_DQ[0]    ; CLOCK_50                        ; -1.810 ; -1.810 ; Rise       ; CLOCK_50                                     ;
1166
;  FL_DQ[1]    ; CLOCK_50                        ; -1.850 ; -1.850 ; Rise       ; CLOCK_50                                     ;
1167
;  FL_DQ[2]    ; CLOCK_50                        ; -1.725 ; -1.725 ; Rise       ; CLOCK_50                                     ;
1168
;  FL_DQ[3]    ; CLOCK_50                        ; -1.785 ; -1.785 ; Rise       ; CLOCK_50                                     ;
1169
;  FL_DQ[4]    ; CLOCK_50                        ; -2.027 ; -2.027 ; Rise       ; CLOCK_50                                     ;
1170
;  FL_DQ[5]    ; CLOCK_50                        ; -1.960 ; -1.960 ; Rise       ; CLOCK_50                                     ;
1171
;  FL_DQ[6]    ; CLOCK_50                        ; -2.065 ; -2.065 ; Rise       ; CLOCK_50                                     ;
1172
;  FL_DQ[7]    ; CLOCK_50                        ; -1.948 ; -1.948 ; Rise       ; CLOCK_50                                     ;
1173
; KEY[*]       ; CLOCK_50                        ; -1.777 ; -1.777 ; Rise       ; CLOCK_50                                     ;
1174
;  KEY[0]      ; CLOCK_50                        ; -1.777 ; -1.777 ; Rise       ; CLOCK_50                                     ;
1175
; PS2_CLK      ; CLOCK_50                        ; -2.005 ; -2.005 ; Rise       ; CLOCK_50                                     ;
1176
; PS2_DAT      ; CLOCK_50                        ; -2.007 ; -2.007 ; Rise       ; CLOCK_50                                     ;
1177
; SRAM_DQ[*]   ; CLOCK_50                        ; -2.353 ; -2.353 ; Rise       ; CLOCK_50                                     ;
1178
;  SRAM_DQ[0]  ; CLOCK_50                        ; -2.929 ; -2.929 ; Rise       ; CLOCK_50                                     ;
1179
;  SRAM_DQ[1]  ; CLOCK_50                        ; -2.752 ; -2.752 ; Rise       ; CLOCK_50                                     ;
1180
;  SRAM_DQ[2]  ; CLOCK_50                        ; -2.456 ; -2.456 ; Rise       ; CLOCK_50                                     ;
1181
;  SRAM_DQ[3]  ; CLOCK_50                        ; -2.817 ; -2.817 ; Rise       ; CLOCK_50                                     ;
1182
;  SRAM_DQ[4]  ; CLOCK_50                        ; -2.578 ; -2.578 ; Rise       ; CLOCK_50                                     ;
1183
;  SRAM_DQ[5]  ; CLOCK_50                        ; -2.785 ; -2.785 ; Rise       ; CLOCK_50                                     ;
1184
;  SRAM_DQ[6]  ; CLOCK_50                        ; -2.540 ; -2.540 ; Rise       ; CLOCK_50                                     ;
1185
;  SRAM_DQ[7]  ; CLOCK_50                        ; -2.776 ; -2.776 ; Rise       ; CLOCK_50                                     ;
1186
;  SRAM_DQ[8]  ; CLOCK_50                        ; -3.138 ; -3.138 ; Rise       ; CLOCK_50                                     ;
1187
;  SRAM_DQ[9]  ; CLOCK_50                        ; -2.805 ; -2.805 ; Rise       ; CLOCK_50                                     ;
1188
;  SRAM_DQ[10] ; CLOCK_50                        ; -2.353 ; -2.353 ; Rise       ; CLOCK_50                                     ;
1189
;  SRAM_DQ[11] ; CLOCK_50                        ; -2.688 ; -2.688 ; Rise       ; CLOCK_50                                     ;
1190
;  SRAM_DQ[12] ; CLOCK_50                        ; -2.598 ; -2.598 ; Rise       ; CLOCK_50                                     ;
1191
;  SRAM_DQ[13] ; CLOCK_50                        ; -2.559 ; -2.559 ; Rise       ; CLOCK_50                                     ;
1192
;  SRAM_DQ[14] ; CLOCK_50                        ; -2.464 ; -2.464 ; Rise       ; CLOCK_50                                     ;
1193
;  SRAM_DQ[15] ; CLOCK_50                        ; -2.973 ; -2.973 ; Rise       ; CLOCK_50                                     ;
1194
; TCK          ; CLOCK_50                        ; -0.573 ; -0.573 ; Rise       ; CLOCK_50                                     ;
1195
; I2C_SDAT     ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; -1.985 ; -1.985 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1196
; KEY[*]       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; -2.642 ; -2.642 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1197
;  KEY[0]      ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; -2.642 ; -2.642 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1198
; TCS          ; USB_JTAG:u1|mTCK                ; -1.357 ; -1.357 ; Rise       ; USB_JTAG:u1|mTCK                             ;
1199
; TDI          ; USB_JTAG:u1|mTCK                ; -1.259 ; -1.259 ; Rise       ; USB_JTAG:u1|mTCK                             ;
1200
; SRAM_DQ[*]   ; CLOCK_27[0]                     ; -4.631 ; -4.631 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1201
;  SRAM_DQ[4]  ; CLOCK_27[0]                     ; -4.853 ; -4.853 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1202
;  SRAM_DQ[5]  ; CLOCK_27[0]                     ; -4.965 ; -4.965 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1203
;  SRAM_DQ[6]  ; CLOCK_27[0]                     ; -4.711 ; -4.711 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1204
;  SRAM_DQ[7]  ; CLOCK_27[0]                     ; -4.717 ; -4.717 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1205
;  SRAM_DQ[12] ; CLOCK_27[0]                     ; -4.718 ; -4.718 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1206
;  SRAM_DQ[13] ; CLOCK_27[0]                     ; -4.687 ; -4.687 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1207
;  SRAM_DQ[14] ; CLOCK_27[0]                     ; -4.631 ; -4.631 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1208
;  SRAM_DQ[15] ; CLOCK_27[0]                     ; -4.821 ; -4.821 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1209
; DRAM_DQ[*]   ; CLOCK_50                        ; -3.696 ; -3.696 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1210
;  DRAM_DQ[0]  ; CLOCK_50                        ; -4.008 ; -4.008 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1211
;  DRAM_DQ[1]  ; CLOCK_50                        ; -3.979 ; -3.979 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1212
;  DRAM_DQ[2]  ; CLOCK_50                        ; -4.109 ; -4.109 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1213
;  DRAM_DQ[3]  ; CLOCK_50                        ; -3.959 ; -3.959 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1214
;  DRAM_DQ[4]  ; CLOCK_50                        ; -4.153 ; -4.153 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1215
;  DRAM_DQ[5]  ; CLOCK_50                        ; -3.979 ; -3.979 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1216
;  DRAM_DQ[6]  ; CLOCK_50                        ; -3.999 ; -3.999 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1217
;  DRAM_DQ[7]  ; CLOCK_50                        ; -4.241 ; -4.241 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1218
;  DRAM_DQ[8]  ; CLOCK_50                        ; -3.776 ; -3.776 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1219
;  DRAM_DQ[9]  ; CLOCK_50                        ; -3.843 ; -3.843 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1220
;  DRAM_DQ[10] ; CLOCK_50                        ; -3.868 ; -3.868 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1221
;  DRAM_DQ[11] ; CLOCK_50                        ; -3.696 ; -3.696 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1222
;  DRAM_DQ[12] ; CLOCK_50                        ; -4.063 ; -4.063 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1223
;  DRAM_DQ[13] ; CLOCK_50                        ; -3.774 ; -3.774 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1224
;  DRAM_DQ[14] ; CLOCK_50                        ; -4.188 ; -4.188 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1225
;  DRAM_DQ[15] ; CLOCK_50                        ; -4.010 ; -4.010 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1226
; KEY[*]       ; CLOCK_50                        ; -4.112 ; -4.112 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1227
;  KEY[0]      ; CLOCK_50                        ; -4.112 ; -4.112 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1228
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1229
 
1230
 
1231
+--------------------------------------------------------------------------------------------------------------------------------+
1232
; Clock to Output Times                                                                                                          ;
1233
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1234
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                              ;
1235
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1236
; AUD_ADCLRCK    ; AUDIO_DAC:u11|LRCK_1X           ; 3.550  ;        ; Rise       ; AUDIO_DAC:u11|LRCK_1X                        ;
1237
; AUD_DACLRCK    ; AUDIO_DAC:u11|LRCK_1X           ; 3.560  ;        ; Rise       ; AUDIO_DAC:u11|LRCK_1X                        ;
1238
; AUD_ADCLRCK    ; AUDIO_DAC:u11|LRCK_1X           ;        ; 3.550  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
1239
; AUD_DACDAT     ; AUDIO_DAC:u11|LRCK_1X           ; 7.815  ; 7.815  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
1240
; AUD_DACLRCK    ; AUDIO_DAC:u11|LRCK_1X           ;        ; 3.560  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
1241
; AUD_DACDAT     ; AUDIO_DAC:u11|LRCK_2X           ; 7.220  ; 7.220  ; Fall       ; AUDIO_DAC:u11|LRCK_2X                        ;
1242
; AUD_BCLK       ; AUDIO_DAC:u11|oAUD_BCK          ; 3.617  ;        ; Rise       ; AUDIO_DAC:u11|oAUD_BCK                       ;
1243
; AUD_BCLK       ; AUDIO_DAC:u11|oAUD_BCK          ;        ; 3.617  ; Fall       ; AUDIO_DAC:u11|oAUD_BCK                       ;
1244
; AUD_DACDAT     ; AUDIO_DAC:u11|oAUD_BCK          ; 8.021  ; 8.021  ; Fall       ; AUDIO_DAC:u11|oAUD_BCK                       ;
1245
; FL_ADDR[*]     ; CLOCK_50                        ; 5.824  ; 5.824  ; Rise       ; CLOCK_50                                     ;
1246
;  FL_ADDR[0]    ; CLOCK_50                        ; 5.605  ; 5.605  ; Rise       ; CLOCK_50                                     ;
1247
;  FL_ADDR[1]    ; CLOCK_50                        ; 5.603  ; 5.603  ; Rise       ; CLOCK_50                                     ;
1248
;  FL_ADDR[2]    ; CLOCK_50                        ; 5.201  ; 5.201  ; Rise       ; CLOCK_50                                     ;
1249
;  FL_ADDR[3]    ; CLOCK_50                        ; 5.722  ; 5.722  ; Rise       ; CLOCK_50                                     ;
1250
;  FL_ADDR[4]    ; CLOCK_50                        ; 5.316  ; 5.316  ; Rise       ; CLOCK_50                                     ;
1251
;  FL_ADDR[5]    ; CLOCK_50                        ; 5.824  ; 5.824  ; Rise       ; CLOCK_50                                     ;
1252
;  FL_ADDR[6]    ; CLOCK_50                        ; 5.596  ; 5.596  ; Rise       ; CLOCK_50                                     ;
1253
;  FL_ADDR[7]    ; CLOCK_50                        ; 5.599  ; 5.599  ; Rise       ; CLOCK_50                                     ;
1254
;  FL_ADDR[8]    ; CLOCK_50                        ; 5.392  ; 5.392  ; Rise       ; CLOCK_50                                     ;
1255
;  FL_ADDR[9]    ; CLOCK_50                        ; 5.563  ; 5.563  ; Rise       ; CLOCK_50                                     ;
1256
;  FL_ADDR[10]   ; CLOCK_50                        ; 5.587  ; 5.587  ; Rise       ; CLOCK_50                                     ;
1257
;  FL_ADDR[11]   ; CLOCK_50                        ; 5.648  ; 5.648  ; Rise       ; CLOCK_50                                     ;
1258
;  FL_ADDR[12]   ; CLOCK_50                        ; 4.994  ; 4.994  ; Rise       ; CLOCK_50                                     ;
1259
;  FL_ADDR[13]   ; CLOCK_50                        ; 5.002  ; 5.002  ; Rise       ; CLOCK_50                                     ;
1260
;  FL_ADDR[14]   ; CLOCK_50                        ; 4.939  ; 4.939  ; Rise       ; CLOCK_50                                     ;
1261
;  FL_ADDR[15]   ; CLOCK_50                        ; 5.008  ; 5.008  ; Rise       ; CLOCK_50                                     ;
1262
;  FL_ADDR[16]   ; CLOCK_50                        ; 4.991  ; 4.991  ; Rise       ; CLOCK_50                                     ;
1263
;  FL_ADDR[17]   ; CLOCK_50                        ; 5.099  ; 5.099  ; Rise       ; CLOCK_50                                     ;
1264
;  FL_ADDR[18]   ; CLOCK_50                        ; 4.866  ; 4.866  ; Rise       ; CLOCK_50                                     ;
1265
;  FL_ADDR[19]   ; CLOCK_50                        ; 4.968  ; 4.968  ; Rise       ; CLOCK_50                                     ;
1266
;  FL_ADDR[20]   ; CLOCK_50                        ; 5.093  ; 5.093  ; Rise       ; CLOCK_50                                     ;
1267
;  FL_ADDR[21]   ; CLOCK_50                        ; 5.019  ; 5.019  ; Rise       ; CLOCK_50                                     ;
1268
; FL_CE_N        ; CLOCK_50                        ; 3.953  ; 3.953  ; Rise       ; CLOCK_50                                     ;
1269
; FL_DQ[*]       ; CLOCK_50                        ; 5.340  ; 5.340  ; Rise       ; CLOCK_50                                     ;
1270
;  FL_DQ[0]      ; CLOCK_50                        ; 4.804  ; 4.804  ; Rise       ; CLOCK_50                                     ;
1271
;  FL_DQ[1]      ; CLOCK_50                        ; 4.713  ; 4.713  ; Rise       ; CLOCK_50                                     ;
1272
;  FL_DQ[2]      ; CLOCK_50                        ; 4.940  ; 4.940  ; Rise       ; CLOCK_50                                     ;
1273
;  FL_DQ[3]      ; CLOCK_50                        ; 4.699  ; 4.699  ; Rise       ; CLOCK_50                                     ;
1274
;  FL_DQ[4]      ; CLOCK_50                        ; 5.340  ; 5.340  ; Rise       ; CLOCK_50                                     ;
1275
;  FL_DQ[5]      ; CLOCK_50                        ; 5.246  ; 5.246  ; Rise       ; CLOCK_50                                     ;
1276
;  FL_DQ[6]      ; CLOCK_50                        ; 5.129  ; 5.129  ; Rise       ; CLOCK_50                                     ;
1277
;  FL_DQ[7]      ; CLOCK_50                        ; 5.241  ; 5.241  ; Rise       ; CLOCK_50                                     ;
1278
; FL_OE_N        ; CLOCK_50                        ; 4.480  ; 4.480  ; Rise       ; CLOCK_50                                     ;
1279
; FL_WE_N        ; CLOCK_50                        ; 4.838  ; 4.838  ; Rise       ; CLOCK_50                                     ;
1280
; HEX0[*]        ; CLOCK_50                        ; 4.844  ; 4.844  ; Rise       ; CLOCK_50                                     ;
1281
;  HEX0[0]       ; CLOCK_50                        ; 4.615  ; 4.615  ; Rise       ; CLOCK_50                                     ;
1282
;  HEX0[1]       ; CLOCK_50                        ; 4.461  ; 4.461  ; Rise       ; CLOCK_50                                     ;
1283
;  HEX0[2]       ; CLOCK_50                        ; 4.779  ; 4.779  ; Rise       ; CLOCK_50                                     ;
1284
;  HEX0[3]       ; CLOCK_50                        ; 4.844  ; 4.844  ; Rise       ; CLOCK_50                                     ;
1285
;  HEX0[4]       ; CLOCK_50                        ; 4.763  ; 4.763  ; Rise       ; CLOCK_50                                     ;
1286
;  HEX0[5]       ; CLOCK_50                        ; 4.503  ; 4.503  ; Rise       ; CLOCK_50                                     ;
1287
;  HEX0[6]       ; CLOCK_50                        ; 4.780  ; 4.780  ; Rise       ; CLOCK_50                                     ;
1288
; HEX1[*]        ; CLOCK_50                        ; 4.963  ; 4.963  ; Rise       ; CLOCK_50                                     ;
1289
;  HEX1[0]       ; CLOCK_50                        ; 4.719  ; 4.719  ; Rise       ; CLOCK_50                                     ;
1290
;  HEX1[1]       ; CLOCK_50                        ; 4.669  ; 4.669  ; Rise       ; CLOCK_50                                     ;
1291
;  HEX1[2]       ; CLOCK_50                        ; 4.528  ; 4.528  ; Rise       ; CLOCK_50                                     ;
1292
;  HEX1[3]       ; CLOCK_50                        ; 4.451  ; 4.451  ; Rise       ; CLOCK_50                                     ;
1293
;  HEX1[4]       ; CLOCK_50                        ; 4.787  ; 4.787  ; Rise       ; CLOCK_50                                     ;
1294
;  HEX1[5]       ; CLOCK_50                        ; 4.963  ; 4.963  ; Rise       ; CLOCK_50                                     ;
1295
;  HEX1[6]       ; CLOCK_50                        ; 4.923  ; 4.923  ; Rise       ; CLOCK_50                                     ;
1296
; HEX2[*]        ; CLOCK_50                        ; 5.001  ; 5.001  ; Rise       ; CLOCK_50                                     ;
1297
;  HEX2[0]       ; CLOCK_50                        ; 4.877  ; 4.877  ; Rise       ; CLOCK_50                                     ;
1298
;  HEX2[1]       ; CLOCK_50                        ; 4.805  ; 4.805  ; Rise       ; CLOCK_50                                     ;
1299
;  HEX2[2]       ; CLOCK_50                        ; 4.855  ; 4.855  ; Rise       ; CLOCK_50                                     ;
1300
;  HEX2[3]       ; CLOCK_50                        ; 5.001  ; 5.001  ; Rise       ; CLOCK_50                                     ;
1301
;  HEX2[4]       ; CLOCK_50                        ; 4.834  ; 4.834  ; Rise       ; CLOCK_50                                     ;
1302
;  HEX2[5]       ; CLOCK_50                        ; 4.917  ; 4.917  ; Rise       ; CLOCK_50                                     ;
1303
;  HEX2[6]       ; CLOCK_50                        ; 4.834  ; 4.834  ; Rise       ; CLOCK_50                                     ;
1304
; HEX3[*]        ; CLOCK_50                        ; 4.974  ; 4.974  ; Rise       ; CLOCK_50                                     ;
1305
;  HEX3[0]       ; CLOCK_50                        ; 4.928  ; 4.928  ; Rise       ; CLOCK_50                                     ;
1306
;  HEX3[1]       ; CLOCK_50                        ; 4.974  ; 4.974  ; Rise       ; CLOCK_50                                     ;
1307
;  HEX3[2]       ; CLOCK_50                        ; 4.968  ; 4.968  ; Rise       ; CLOCK_50                                     ;
1308
;  HEX3[3]       ; CLOCK_50                        ; 4.657  ; 4.657  ; Rise       ; CLOCK_50                                     ;
1309
;  HEX3[4]       ; CLOCK_50                        ; 4.563  ; 4.563  ; Rise       ; CLOCK_50                                     ;
1310
;  HEX3[5]       ; CLOCK_50                        ; 4.543  ; 4.543  ; Rise       ; CLOCK_50                                     ;
1311
;  HEX3[6]       ; CLOCK_50                        ; 4.945  ; 4.945  ; Rise       ; CLOCK_50                                     ;
1312
; LEDG[*]        ; CLOCK_50                        ; 4.353  ; 4.353  ; Rise       ; CLOCK_50                                     ;
1313
;  LEDG[0]       ; CLOCK_50                        ; 4.156  ; 4.156  ; Rise       ; CLOCK_50                                     ;
1314
;  LEDG[1]       ; CLOCK_50                        ; 4.276  ; 4.276  ; Rise       ; CLOCK_50                                     ;
1315
;  LEDG[2]       ; CLOCK_50                        ; 4.300  ; 4.300  ; Rise       ; CLOCK_50                                     ;
1316
;  LEDG[3]       ; CLOCK_50                        ; 4.286  ; 4.286  ; Rise       ; CLOCK_50                                     ;
1317
;  LEDG[4]       ; CLOCK_50                        ; 4.353  ; 4.353  ; Rise       ; CLOCK_50                                     ;
1318
;  LEDG[5]       ; CLOCK_50                        ; 4.214  ; 4.214  ; Rise       ; CLOCK_50                                     ;
1319
;  LEDG[6]       ; CLOCK_50                        ; 4.341  ; 4.341  ; Rise       ; CLOCK_50                                     ;
1320
;  LEDG[7]       ; CLOCK_50                        ; 4.322  ; 4.322  ; Rise       ; CLOCK_50                                     ;
1321
; LEDR[*]        ; CLOCK_50                        ; 4.574  ; 4.574  ; Rise       ; CLOCK_50                                     ;
1322
;  LEDR[0]       ; CLOCK_50                        ; 4.135  ; 4.135  ; Rise       ; CLOCK_50                                     ;
1323
;  LEDR[1]       ; CLOCK_50                        ; 4.151  ; 4.151  ; Rise       ; CLOCK_50                                     ;
1324
;  LEDR[2]       ; CLOCK_50                        ; 4.304  ; 4.304  ; Rise       ; CLOCK_50                                     ;
1325
;  LEDR[3]       ; CLOCK_50                        ; 4.458  ; 4.458  ; Rise       ; CLOCK_50                                     ;
1326
;  LEDR[4]       ; CLOCK_50                        ; 4.574  ; 4.574  ; Rise       ; CLOCK_50                                     ;
1327
;  LEDR[5]       ; CLOCK_50                        ; 4.438  ; 4.438  ; Rise       ; CLOCK_50                                     ;
1328
;  LEDR[6]       ; CLOCK_50                        ; 4.409  ; 4.409  ; Rise       ; CLOCK_50                                     ;
1329
;  LEDR[7]       ; CLOCK_50                        ; 4.490  ; 4.490  ; Rise       ; CLOCK_50                                     ;
1330
;  LEDR[8]       ; CLOCK_50                        ; 4.463  ; 4.463  ; Rise       ; CLOCK_50                                     ;
1331
;  LEDR[9]       ; CLOCK_50                        ; 4.471  ; 4.471  ; Rise       ; CLOCK_50                                     ;
1332
; SRAM_ADDR[*]   ; CLOCK_50                        ; 5.555  ; 5.555  ; Rise       ; CLOCK_50                                     ;
1333
;  SRAM_ADDR[0]  ; CLOCK_50                        ; 5.339  ; 5.339  ; Rise       ; CLOCK_50                                     ;
1334
;  SRAM_ADDR[1]  ; CLOCK_50                        ; 5.346  ; 5.346  ; Rise       ; CLOCK_50                                     ;
1335
;  SRAM_ADDR[2]  ; CLOCK_50                        ; 5.208  ; 5.208  ; Rise       ; CLOCK_50                                     ;
1336
;  SRAM_ADDR[3]  ; CLOCK_50                        ; 5.181  ; 5.181  ; Rise       ; CLOCK_50                                     ;
1337
;  SRAM_ADDR[4]  ; CLOCK_50                        ; 5.289  ; 5.289  ; Rise       ; CLOCK_50                                     ;
1338
;  SRAM_ADDR[5]  ; CLOCK_50                        ; 4.568  ; 4.568  ; Rise       ; CLOCK_50                                     ;
1339
;  SRAM_ADDR[6]  ; CLOCK_50                        ; 4.922  ; 4.922  ; Rise       ; CLOCK_50                                     ;
1340
;  SRAM_ADDR[7]  ; CLOCK_50                        ; 4.615  ; 4.615  ; Rise       ; CLOCK_50                                     ;
1341
;  SRAM_ADDR[8]  ; CLOCK_50                        ; 4.717  ; 4.717  ; Rise       ; CLOCK_50                                     ;
1342
;  SRAM_ADDR[9]  ; CLOCK_50                        ; 5.020  ; 5.020  ; Rise       ; CLOCK_50                                     ;
1343
;  SRAM_ADDR[10] ; CLOCK_50                        ; 5.036  ; 5.036  ; Rise       ; CLOCK_50                                     ;
1344
;  SRAM_ADDR[11] ; CLOCK_50                        ; 5.032  ; 5.032  ; Rise       ; CLOCK_50                                     ;
1345
;  SRAM_ADDR[12] ; CLOCK_50                        ; 5.130  ; 5.130  ; Rise       ; CLOCK_50                                     ;
1346
;  SRAM_ADDR[13] ; CLOCK_50                        ; 5.262  ; 5.262  ; Rise       ; CLOCK_50                                     ;
1347
;  SRAM_ADDR[14] ; CLOCK_50                        ; 5.268  ; 5.268  ; Rise       ; CLOCK_50                                     ;
1348
;  SRAM_ADDR[15] ; CLOCK_50                        ; 5.258  ; 5.258  ; Rise       ; CLOCK_50                                     ;
1349
;  SRAM_ADDR[16] ; CLOCK_50                        ; 5.555  ; 5.555  ; Rise       ; CLOCK_50                                     ;
1350
;  SRAM_ADDR[17] ; CLOCK_50                        ; 5.467  ; 5.467  ; Rise       ; CLOCK_50                                     ;
1351
; SRAM_DQ[*]     ; CLOCK_50                        ; 5.697  ; 5.697  ; Rise       ; CLOCK_50                                     ;
1352
;  SRAM_DQ[0]    ; CLOCK_50                        ; 5.445  ; 5.445  ; Rise       ; CLOCK_50                                     ;
1353
;  SRAM_DQ[1]    ; CLOCK_50                        ; 5.455  ; 5.455  ; Rise       ; CLOCK_50                                     ;
1354
;  SRAM_DQ[2]    ; CLOCK_50                        ; 5.580  ; 5.580  ; Rise       ; CLOCK_50                                     ;
1355
;  SRAM_DQ[3]    ; CLOCK_50                        ; 5.590  ; 5.590  ; Rise       ; CLOCK_50                                     ;
1356
;  SRAM_DQ[4]    ; CLOCK_50                        ; 5.253  ; 5.253  ; Rise       ; CLOCK_50                                     ;
1357
;  SRAM_DQ[5]    ; CLOCK_50                        ; 5.251  ; 5.251  ; Rise       ; CLOCK_50                                     ;
1358
;  SRAM_DQ[6]    ; CLOCK_50                        ; 5.359  ; 5.359  ; Rise       ; CLOCK_50                                     ;
1359
;  SRAM_DQ[7]    ; CLOCK_50                        ; 5.365  ; 5.365  ; Rise       ; CLOCK_50                                     ;
1360
;  SRAM_DQ[8]    ; CLOCK_50                        ; 5.560  ; 5.560  ; Rise       ; CLOCK_50                                     ;
1361
;  SRAM_DQ[9]    ; CLOCK_50                        ; 5.560  ; 5.560  ; Rise       ; CLOCK_50                                     ;
1362
;  SRAM_DQ[10]   ; CLOCK_50                        ; 5.534  ; 5.534  ; Rise       ; CLOCK_50                                     ;
1363
;  SRAM_DQ[11]   ; CLOCK_50                        ; 5.422  ; 5.422  ; Rise       ; CLOCK_50                                     ;
1364
;  SRAM_DQ[12]   ; CLOCK_50                        ; 5.442  ; 5.442  ; Rise       ; CLOCK_50                                     ;
1365
;  SRAM_DQ[13]   ; CLOCK_50                        ; 5.544  ; 5.544  ; Rise       ; CLOCK_50                                     ;
1366
;  SRAM_DQ[14]   ; CLOCK_50                        ; 5.535  ; 5.535  ; Rise       ; CLOCK_50                                     ;
1367
;  SRAM_DQ[15]   ; CLOCK_50                        ; 5.697  ; 5.697  ; Rise       ; CLOCK_50                                     ;
1368
; SRAM_OE_N      ; CLOCK_50                        ; 5.833  ; 5.833  ; Rise       ; CLOCK_50                                     ;
1369
; SRAM_WE_N      ; CLOCK_50                        ; 5.030  ; 5.030  ; Rise       ; CLOCK_50                                     ;
1370
; I2C_SCLK       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 5.631  ; 5.631  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1371
; I2C_SDAT       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 4.441  ; 4.441  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1372
; I2C_SCLK       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 2.845  ;        ; Fall       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1373
; TDO            ; TCK                             ; 3.958  ; 3.958  ; Rise       ; TCK                                          ;
1374
; SRAM_ADDR[*]   ; CLOCK_27[0]                     ; 3.731  ; 3.731  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1375
;  SRAM_ADDR[0]  ; CLOCK_27[0]                     ; 3.432  ; 3.432  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1376
;  SRAM_ADDR[1]  ; CLOCK_27[0]                     ; 3.575  ; 3.575  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1377
;  SRAM_ADDR[2]  ; CLOCK_27[0]                     ; 3.241  ; 3.241  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1378
;  SRAM_ADDR[3]  ; CLOCK_27[0]                     ; 3.271  ; 3.271  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1379
;  SRAM_ADDR[4]  ; CLOCK_27[0]                     ; 3.731  ; 3.731  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1380
;  SRAM_ADDR[5]  ; CLOCK_27[0]                     ; 2.601  ; 2.601  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1381
;  SRAM_ADDR[6]  ; CLOCK_27[0]                     ; 3.017  ; 3.017  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1382
;  SRAM_ADDR[7]  ; CLOCK_27[0]                     ; 2.657  ; 2.657  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1383
;  SRAM_ADDR[8]  ; CLOCK_27[0]                     ; 2.807  ; 2.807  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1384
;  SRAM_ADDR[9]  ; CLOCK_27[0]                     ; 2.971  ; 2.971  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1385
;  SRAM_ADDR[10] ; CLOCK_27[0]                     ; 2.971  ; 2.971  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1386
;  SRAM_ADDR[11] ; CLOCK_27[0]                     ; 3.104  ; 3.104  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1387
;  SRAM_ADDR[12] ; CLOCK_27[0]                     ; 3.093  ; 3.093  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1388
;  SRAM_ADDR[13] ; CLOCK_27[0]                     ; 3.203  ; 3.203  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1389
;  SRAM_ADDR[14] ; CLOCK_27[0]                     ; 3.481  ; 3.481  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1390
;  SRAM_ADDR[15] ; CLOCK_27[0]                     ; 3.486  ; 3.486  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1391
;  SRAM_ADDR[16] ; CLOCK_27[0]                     ; 3.553  ; 3.553  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1392
;  SRAM_ADDR[17] ; CLOCK_27[0]                     ; 3.402  ; 3.402  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1393
; VGA_B[*]       ; CLOCK_27[0]                     ; 5.346  ; 5.346  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1394
;  VGA_B[0]      ; CLOCK_27[0]                     ; 5.346  ; 5.346  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1395
;  VGA_B[1]      ; CLOCK_27[0]                     ; 4.547  ; 4.547  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1396
;  VGA_B[2]      ; CLOCK_27[0]                     ; 4.502  ; 4.502  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1397
;  VGA_B[3]      ; CLOCK_27[0]                     ; 4.747  ; 4.747  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1398
; VGA_G[*]       ; CLOCK_27[0]                     ; 5.213  ; 5.213  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1399
;  VGA_G[0]      ; CLOCK_27[0]                     ; 4.921  ; 4.921  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1400
;  VGA_G[1]      ; CLOCK_27[0]                     ; 5.090  ; 5.090  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1401
;  VGA_G[2]      ; CLOCK_27[0]                     ; 4.826  ; 4.826  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1402
;  VGA_G[3]      ; CLOCK_27[0]                     ; 5.213  ; 5.213  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1403
; VGA_HS         ; CLOCK_27[0]                     ; 2.350  ; 2.350  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1404
; VGA_R[*]       ; CLOCK_27[0]                     ; 5.306  ; 5.306  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1405
;  VGA_R[0]      ; CLOCK_27[0]                     ; 5.285  ; 5.285  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1406
;  VGA_R[1]      ; CLOCK_27[0]                     ; 5.306  ; 5.306  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1407
;  VGA_R[2]      ; CLOCK_27[0]                     ; 5.202  ; 5.202  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1408
;  VGA_R[3]      ; CLOCK_27[0]                     ; 5.011  ; 5.011  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1409
; VGA_VS         ; CLOCK_27[0]                     ; 2.397  ; 2.397  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1410
; AUD_XCK        ; CLOCK_27[0]                     ; 1.117  ;        ; Rise       ; p1|altpll_component|pll|clk[1]               ;
1411
; AUD_XCK        ; CLOCK_27[0]                     ;        ; 1.117  ; Fall       ; p1|altpll_component|pll|clk[1]               ;
1412
; DRAM_ADDR[*]   ; CLOCK_50                        ; 2.195  ; 2.195  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1413
;  DRAM_ADDR[0]  ; CLOCK_50                        ; 2.133  ; 2.133  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1414
;  DRAM_ADDR[1]  ; CLOCK_50                        ; 2.150  ; 2.150  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1415
;  DRAM_ADDR[2]  ; CLOCK_50                        ; 2.195  ; 2.195  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1416
;  DRAM_ADDR[3]  ; CLOCK_50                        ; 2.149  ; 2.149  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1417
;  DRAM_ADDR[4]  ; CLOCK_50                        ; 1.954  ; 1.954  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1418
;  DRAM_ADDR[5]  ; CLOCK_50                        ; 1.967  ; 1.967  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1419
;  DRAM_ADDR[6]  ; CLOCK_50                        ; 1.944  ; 1.944  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1420
;  DRAM_ADDR[7]  ; CLOCK_50                        ; 1.938  ; 1.938  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1421
;  DRAM_ADDR[8]  ; CLOCK_50                        ; 1.827  ; 1.827  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1422
;  DRAM_ADDR[9]  ; CLOCK_50                        ; 1.825  ; 1.825  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1423
;  DRAM_ADDR[10] ; CLOCK_50                        ; 2.132  ; 2.132  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1424
;  DRAM_ADDR[11] ; CLOCK_50                        ; 1.942  ; 1.942  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1425
; DRAM_BA_0      ; CLOCK_50                        ; 2.246  ; 2.246  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1426
; DRAM_BA_1      ; CLOCK_50                        ; 2.341  ; 2.341  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1427
; DRAM_CAS_N     ; CLOCK_50                        ; 2.115  ; 2.115  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1428
; DRAM_CKE       ; CLOCK_50                        ; 2.113  ; 2.113  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1429
; DRAM_CS_N      ; CLOCK_50                        ; 2.215  ; 2.215  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1430
; DRAM_DQ[*]     ; CLOCK_50                        ; 2.532  ; 2.532  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1431
;  DRAM_DQ[0]    ; CLOCK_50                        ; 2.323  ; 2.323  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1432
;  DRAM_DQ[1]    ; CLOCK_50                        ; 2.457  ; 2.457  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1433
;  DRAM_DQ[2]    ; CLOCK_50                        ; 2.532  ; 2.532  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1434
;  DRAM_DQ[3]    ; CLOCK_50                        ; 2.293  ; 2.293  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1435
;  DRAM_DQ[4]    ; CLOCK_50                        ; 2.327  ; 2.327  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1436
;  DRAM_DQ[5]    ; CLOCK_50                        ; 2.522  ; 2.522  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1437
;  DRAM_DQ[6]    ; CLOCK_50                        ; 2.503  ; 2.503  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1438
;  DRAM_DQ[7]    ; CLOCK_50                        ; 2.217  ; 2.217  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1439
;  DRAM_DQ[8]    ; CLOCK_50                        ; 2.362  ; 2.362  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1440
;  DRAM_DQ[9]    ; CLOCK_50                        ; 2.362  ; 2.362  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1441
;  DRAM_DQ[10]   ; CLOCK_50                        ; 2.353  ; 2.353  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1442
;  DRAM_DQ[11]   ; CLOCK_50                        ; 2.353  ; 2.353  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1443
;  DRAM_DQ[12]   ; CLOCK_50                        ; 2.359  ; 2.359  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1444
;  DRAM_DQ[13]   ; CLOCK_50                        ; 2.250  ; 2.250  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1445
;  DRAM_DQ[14]   ; CLOCK_50                        ; 2.326  ; 2.326  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1446
;  DRAM_DQ[15]   ; CLOCK_50                        ; 2.313  ; 2.313  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1447
; DRAM_LDQM      ; CLOCK_50                        ; 2.586  ; 2.586  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1448
; DRAM_RAS_N     ; CLOCK_50                        ; 2.028  ; 2.028  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1449
; DRAM_UDQM      ; CLOCK_50                        ; 2.647  ; 2.647  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1450
; DRAM_WE_N      ; CLOCK_50                        ; 1.844  ; 1.844  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1451
; DRAM_CLK       ; CLOCK_50                        ; -0.119 ;        ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
1452
; DRAM_CLK       ; CLOCK_50                        ;        ; -0.119 ; Fall       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
1453
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1454
 
1455
 
1456
+--------------------------------------------------------------------------------------------------------------------------------+
1457
; Minimum Clock to Output Times                                                                                                  ;
1458
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1459
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                              ;
1460
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1461
; AUD_ADCLRCK    ; AUDIO_DAC:u11|LRCK_1X           ; 3.550  ;        ; Rise       ; AUDIO_DAC:u11|LRCK_1X                        ;
1462
; AUD_DACLRCK    ; AUDIO_DAC:u11|LRCK_1X           ; 3.560  ;        ; Rise       ; AUDIO_DAC:u11|LRCK_1X                        ;
1463
; AUD_ADCLRCK    ; AUDIO_DAC:u11|LRCK_1X           ;        ; 3.550  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
1464
; AUD_DACDAT     ; AUDIO_DAC:u11|LRCK_1X           ; 5.641  ; 5.641  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
1465
; AUD_DACLRCK    ; AUDIO_DAC:u11|LRCK_1X           ;        ; 3.560  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
1466
; AUD_DACDAT     ; AUDIO_DAC:u11|LRCK_2X           ; 6.104  ; 6.104  ; Fall       ; AUDIO_DAC:u11|LRCK_2X                        ;
1467
; AUD_BCLK       ; AUDIO_DAC:u11|oAUD_BCK          ; 3.617  ;        ; Rise       ; AUDIO_DAC:u11|oAUD_BCK                       ;
1468
; AUD_BCLK       ; AUDIO_DAC:u11|oAUD_BCK          ;        ; 3.617  ; Fall       ; AUDIO_DAC:u11|oAUD_BCK                       ;
1469
; AUD_DACDAT     ; AUDIO_DAC:u11|oAUD_BCK          ; 6.418  ; 6.418  ; Fall       ; AUDIO_DAC:u11|oAUD_BCK                       ;
1470
; FL_ADDR[*]     ; CLOCK_50                        ; 4.284  ; 4.284  ; Rise       ; CLOCK_50                                     ;
1471
;  FL_ADDR[0]    ; CLOCK_50                        ; 4.923  ; 4.923  ; Rise       ; CLOCK_50                                     ;
1472
;  FL_ADDR[1]    ; CLOCK_50                        ; 4.757  ; 4.757  ; Rise       ; CLOCK_50                                     ;
1473
;  FL_ADDR[2]    ; CLOCK_50                        ; 4.284  ; 4.284  ; Rise       ; CLOCK_50                                     ;
1474
;  FL_ADDR[3]    ; CLOCK_50                        ; 4.639  ; 4.639  ; Rise       ; CLOCK_50                                     ;
1475
;  FL_ADDR[4]    ; CLOCK_50                        ; 4.431  ; 4.431  ; Rise       ; CLOCK_50                                     ;
1476
;  FL_ADDR[5]    ; CLOCK_50                        ; 4.925  ; 4.925  ; Rise       ; CLOCK_50                                     ;
1477
;  FL_ADDR[6]    ; CLOCK_50                        ; 4.680  ; 4.680  ; Rise       ; CLOCK_50                                     ;
1478
;  FL_ADDR[7]    ; CLOCK_50                        ; 4.520  ; 4.520  ; Rise       ; CLOCK_50                                     ;
1479
;  FL_ADDR[8]    ; CLOCK_50                        ; 4.717  ; 4.717  ; Rise       ; CLOCK_50                                     ;
1480
;  FL_ADDR[9]    ; CLOCK_50                        ; 4.484  ; 4.484  ; Rise       ; CLOCK_50                                     ;
1481
;  FL_ADDR[10]   ; CLOCK_50                        ; 4.897  ; 4.897  ; Rise       ; CLOCK_50                                     ;
1482
;  FL_ADDR[11]   ; CLOCK_50                        ; 4.574  ; 4.574  ; Rise       ; CLOCK_50                                     ;
1483
;  FL_ADDR[12]   ; CLOCK_50                        ; 4.697  ; 4.697  ; Rise       ; CLOCK_50                                     ;
1484
;  FL_ADDR[13]   ; CLOCK_50                        ; 4.707  ; 4.707  ; Rise       ; CLOCK_50                                     ;
1485
;  FL_ADDR[14]   ; CLOCK_50                        ; 4.640  ; 4.640  ; Rise       ; CLOCK_50                                     ;
1486
;  FL_ADDR[15]   ; CLOCK_50                        ; 4.709  ; 4.709  ; Rise       ; CLOCK_50                                     ;
1487
;  FL_ADDR[16]   ; CLOCK_50                        ; 4.788  ; 4.788  ; Rise       ; CLOCK_50                                     ;
1488
;  FL_ADDR[17]   ; CLOCK_50                        ; 4.767  ; 4.767  ; Rise       ; CLOCK_50                                     ;
1489
;  FL_ADDR[18]   ; CLOCK_50                        ; 4.619  ; 4.619  ; Rise       ; CLOCK_50                                     ;
1490
;  FL_ADDR[19]   ; CLOCK_50                        ; 4.686  ; 4.686  ; Rise       ; CLOCK_50                                     ;
1491
;  FL_ADDR[20]   ; CLOCK_50                        ; 4.681  ; 4.681  ; Rise       ; CLOCK_50                                     ;
1492
;  FL_ADDR[21]   ; CLOCK_50                        ; 4.621  ; 4.621  ; Rise       ; CLOCK_50                                     ;
1493
; FL_CE_N        ; CLOCK_50                        ; 3.953  ; 3.953  ; Rise       ; CLOCK_50                                     ;
1494
; FL_DQ[*]       ; CLOCK_50                        ; 4.269  ; 4.269  ; Rise       ; CLOCK_50                                     ;
1495
;  FL_DQ[0]      ; CLOCK_50                        ; 4.460  ; 4.460  ; Rise       ; CLOCK_50                                     ;
1496
;  FL_DQ[1]      ; CLOCK_50                        ; 4.269  ; 4.269  ; Rise       ; CLOCK_50                                     ;
1497
;  FL_DQ[2]      ; CLOCK_50                        ; 4.269  ; 4.269  ; Rise       ; CLOCK_50                                     ;
1498
;  FL_DQ[3]      ; CLOCK_50                        ; 4.372  ; 4.372  ; Rise       ; CLOCK_50                                     ;
1499
;  FL_DQ[4]      ; CLOCK_50                        ; 4.498  ; 4.498  ; Rise       ; CLOCK_50                                     ;
1500
;  FL_DQ[5]      ; CLOCK_50                        ; 4.502  ; 4.502  ; Rise       ; CLOCK_50                                     ;
1501
;  FL_DQ[6]      ; CLOCK_50                        ; 4.694  ; 4.694  ; Rise       ; CLOCK_50                                     ;
1502
;  FL_DQ[7]      ; CLOCK_50                        ; 4.684  ; 4.684  ; Rise       ; CLOCK_50                                     ;
1503
; FL_OE_N        ; CLOCK_50                        ; 4.480  ; 4.480  ; Rise       ; CLOCK_50                                     ;
1504
; FL_WE_N        ; CLOCK_50                        ; 4.475  ; 4.475  ; Rise       ; CLOCK_50                                     ;
1505
; HEX0[*]        ; CLOCK_50                        ; 4.228  ; 4.228  ; Rise       ; CLOCK_50                                     ;
1506
;  HEX0[0]       ; CLOCK_50                        ; 4.409  ; 4.409  ; Rise       ; CLOCK_50                                     ;
1507
;  HEX0[1]       ; CLOCK_50                        ; 4.267  ; 4.267  ; Rise       ; CLOCK_50                                     ;
1508
;  HEX0[2]       ; CLOCK_50                        ; 4.581  ; 4.581  ; Rise       ; CLOCK_50                                     ;
1509
;  HEX0[3]       ; CLOCK_50                        ; 4.600  ; 4.600  ; Rise       ; CLOCK_50                                     ;
1510
;  HEX0[4]       ; CLOCK_50                        ; 4.497  ; 4.497  ; Rise       ; CLOCK_50                                     ;
1511
;  HEX0[5]       ; CLOCK_50                        ; 4.228  ; 4.228  ; Rise       ; CLOCK_50                                     ;
1512
;  HEX0[6]       ; CLOCK_50                        ; 4.515  ; 4.515  ; Rise       ; CLOCK_50                                     ;
1513
; HEX1[*]        ; CLOCK_50                        ; 4.280  ; 4.280  ; Rise       ; CLOCK_50                                     ;
1514
;  HEX1[0]       ; CLOCK_50                        ; 4.595  ; 4.595  ; Rise       ; CLOCK_50                                     ;
1515
;  HEX1[1]       ; CLOCK_50                        ; 4.536  ; 4.536  ; Rise       ; CLOCK_50                                     ;
1516
;  HEX1[2]       ; CLOCK_50                        ; 4.396  ; 4.396  ; Rise       ; CLOCK_50                                     ;
1517
;  HEX1[3]       ; CLOCK_50                        ; 4.280  ; 4.280  ; Rise       ; CLOCK_50                                     ;
1518
;  HEX1[4]       ; CLOCK_50                        ; 4.615  ; 4.615  ; Rise       ; CLOCK_50                                     ;
1519
;  HEX1[5]       ; CLOCK_50                        ; 4.717  ; 4.717  ; Rise       ; CLOCK_50                                     ;
1520
;  HEX1[6]       ; CLOCK_50                        ; 4.679  ; 4.679  ; Rise       ; CLOCK_50                                     ;
1521
; HEX2[*]        ; CLOCK_50                        ; 4.648  ; 4.648  ; Rise       ; CLOCK_50                                     ;
1522
;  HEX2[0]       ; CLOCK_50                        ; 4.801  ; 4.801  ; Rise       ; CLOCK_50                                     ;
1523
;  HEX2[1]       ; CLOCK_50                        ; 4.728  ; 4.728  ; Rise       ; CLOCK_50                                     ;
1524
;  HEX2[2]       ; CLOCK_50                        ; 4.768  ; 4.768  ; Rise       ; CLOCK_50                                     ;
1525
;  HEX2[3]       ; CLOCK_50                        ; 4.667  ; 4.667  ; Rise       ; CLOCK_50                                     ;
1526
;  HEX2[4]       ; CLOCK_50                        ; 4.648  ; 4.648  ; Rise       ; CLOCK_50                                     ;
1527
;  HEX2[5]       ; CLOCK_50                        ; 4.685  ; 4.685  ; Rise       ; CLOCK_50                                     ;
1528
;  HEX2[6]       ; CLOCK_50                        ; 4.649  ; 4.649  ; Rise       ; CLOCK_50                                     ;
1529
; HEX3[*]        ; CLOCK_50                        ; 4.348  ; 4.348  ; Rise       ; CLOCK_50                                     ;
1530
;  HEX3[0]       ; CLOCK_50                        ; 4.778  ; 4.778  ; Rise       ; CLOCK_50                                     ;
1531
;  HEX3[1]       ; CLOCK_50                        ; 4.837  ; 4.837  ; Rise       ; CLOCK_50                                     ;
1532
;  HEX3[2]       ; CLOCK_50                        ; 4.824  ; 4.824  ; Rise       ; CLOCK_50                                     ;
1533
;  HEX3[3]       ; CLOCK_50                        ; 4.469  ; 4.469  ; Rise       ; CLOCK_50                                     ;
1534
;  HEX3[4]       ; CLOCK_50                        ; 4.370  ; 4.370  ; Rise       ; CLOCK_50                                     ;
1535
;  HEX3[5]       ; CLOCK_50                        ; 4.348  ; 4.348  ; Rise       ; CLOCK_50                                     ;
1536
;  HEX3[6]       ; CLOCK_50                        ; 4.757  ; 4.757  ; Rise       ; CLOCK_50                                     ;
1537
; LEDG[*]        ; CLOCK_50                        ; 4.156  ; 4.156  ; Rise       ; CLOCK_50                                     ;
1538
;  LEDG[0]       ; CLOCK_50                        ; 4.156  ; 4.156  ; Rise       ; CLOCK_50                                     ;
1539
;  LEDG[1]       ; CLOCK_50                        ; 4.276  ; 4.276  ; Rise       ; CLOCK_50                                     ;
1540
;  LEDG[2]       ; CLOCK_50                        ; 4.300  ; 4.300  ; Rise       ; CLOCK_50                                     ;
1541
;  LEDG[3]       ; CLOCK_50                        ; 4.286  ; 4.286  ; Rise       ; CLOCK_50                                     ;
1542
;  LEDG[4]       ; CLOCK_50                        ; 4.353  ; 4.353  ; Rise       ; CLOCK_50                                     ;
1543
;  LEDG[5]       ; CLOCK_50                        ; 4.214  ; 4.214  ; Rise       ; CLOCK_50                                     ;
1544
;  LEDG[6]       ; CLOCK_50                        ; 4.341  ; 4.341  ; Rise       ; CLOCK_50                                     ;
1545
;  LEDG[7]       ; CLOCK_50                        ; 4.322  ; 4.322  ; Rise       ; CLOCK_50                                     ;
1546
; LEDR[*]        ; CLOCK_50                        ; 4.135  ; 4.135  ; Rise       ; CLOCK_50                                     ;
1547
;  LEDR[0]       ; CLOCK_50                        ; 4.135  ; 4.135  ; Rise       ; CLOCK_50                                     ;
1548
;  LEDR[1]       ; CLOCK_50                        ; 4.151  ; 4.151  ; Rise       ; CLOCK_50                                     ;
1549
;  LEDR[2]       ; CLOCK_50                        ; 4.304  ; 4.304  ; Rise       ; CLOCK_50                                     ;
1550
;  LEDR[3]       ; CLOCK_50                        ; 4.458  ; 4.458  ; Rise       ; CLOCK_50                                     ;
1551
;  LEDR[4]       ; CLOCK_50                        ; 4.574  ; 4.574  ; Rise       ; CLOCK_50                                     ;
1552
;  LEDR[5]       ; CLOCK_50                        ; 4.438  ; 4.438  ; Rise       ; CLOCK_50                                     ;
1553
;  LEDR[6]       ; CLOCK_50                        ; 4.409  ; 4.409  ; Rise       ; CLOCK_50                                     ;
1554
;  LEDR[7]       ; CLOCK_50                        ; 4.490  ; 4.490  ; Rise       ; CLOCK_50                                     ;
1555
;  LEDR[8]       ; CLOCK_50                        ; 4.463  ; 4.463  ; Rise       ; CLOCK_50                                     ;
1556
;  LEDR[9]       ; CLOCK_50                        ; 4.471  ; 4.471  ; Rise       ; CLOCK_50                                     ;
1557
; SRAM_ADDR[*]   ; CLOCK_50                        ; 4.369  ; 4.369  ; Rise       ; CLOCK_50                                     ;
1558
;  SRAM_ADDR[0]  ; CLOCK_50                        ; 5.060  ; 5.060  ; Rise       ; CLOCK_50                                     ;
1559
;  SRAM_ADDR[1]  ; CLOCK_50                        ; 5.202  ; 5.202  ; Rise       ; CLOCK_50                                     ;
1560
;  SRAM_ADDR[2]  ; CLOCK_50                        ; 5.011  ; 5.011  ; Rise       ; CLOCK_50                                     ;
1561
;  SRAM_ADDR[3]  ; CLOCK_50                        ; 4.905  ; 4.905  ; Rise       ; CLOCK_50                                     ;
1562
;  SRAM_ADDR[4]  ; CLOCK_50                        ; 5.013  ; 5.013  ; Rise       ; CLOCK_50                                     ;
1563
;  SRAM_ADDR[5]  ; CLOCK_50                        ; 4.369  ; 4.369  ; Rise       ; CLOCK_50                                     ;
1564
;  SRAM_ADDR[6]  ; CLOCK_50                        ; 4.639  ; 4.639  ; Rise       ; CLOCK_50                                     ;
1565
;  SRAM_ADDR[7]  ; CLOCK_50                        ; 4.418  ; 4.418  ; Rise       ; CLOCK_50                                     ;
1566
;  SRAM_ADDR[8]  ; CLOCK_50                        ; 4.436  ; 4.436  ; Rise       ; CLOCK_50                                     ;
1567
;  SRAM_ADDR[9]  ; CLOCK_50                        ; 4.584  ; 4.584  ; Rise       ; CLOCK_50                                     ;
1568
;  SRAM_ADDR[10] ; CLOCK_50                        ; 4.596  ; 4.596  ; Rise       ; CLOCK_50                                     ;
1569
;  SRAM_ADDR[11] ; CLOCK_50                        ; 4.591  ; 4.591  ; Rise       ; CLOCK_50                                     ;
1570
;  SRAM_ADDR[12] ; CLOCK_50                        ; 4.696  ; 4.696  ; Rise       ; CLOCK_50                                     ;
1571
;  SRAM_ADDR[13] ; CLOCK_50                        ; 4.821  ; 4.821  ; Rise       ; CLOCK_50                                     ;
1572
;  SRAM_ADDR[14] ; CLOCK_50                        ; 4.827  ; 4.827  ; Rise       ; CLOCK_50                                     ;
1573
;  SRAM_ADDR[15] ; CLOCK_50                        ; 4.825  ; 4.825  ; Rise       ; CLOCK_50                                     ;
1574
;  SRAM_ADDR[16] ; CLOCK_50                        ; 5.118  ; 5.118  ; Rise       ; CLOCK_50                                     ;
1575
;  SRAM_ADDR[17] ; CLOCK_50                        ; 5.033  ; 5.033  ; Rise       ; CLOCK_50                                     ;
1576
; SRAM_DQ[*]     ; CLOCK_50                        ; 4.382  ; 4.382  ; Rise       ; CLOCK_50                                     ;
1577
;  SRAM_DQ[0]    ; CLOCK_50                        ; 4.560  ; 4.560  ; Rise       ; CLOCK_50                                     ;
1578
;  SRAM_DQ[1]    ; CLOCK_50                        ; 4.548  ; 4.548  ; Rise       ; CLOCK_50                                     ;
1579
;  SRAM_DQ[2]    ; CLOCK_50                        ; 4.818  ; 4.818  ; Rise       ; CLOCK_50                                     ;
1580
;  SRAM_DQ[3]    ; CLOCK_50                        ; 4.608  ; 4.608  ; Rise       ; CLOCK_50                                     ;
1581
;  SRAM_DQ[4]    ; CLOCK_50                        ; 4.491  ; 4.491  ; Rise       ; CLOCK_50                                     ;
1582
;  SRAM_DQ[5]    ; CLOCK_50                        ; 4.489  ; 4.489  ; Rise       ; CLOCK_50                                     ;
1583
;  SRAM_DQ[6]    ; CLOCK_50                        ; 4.382  ; 4.382  ; Rise       ; CLOCK_50                                     ;
1584
;  SRAM_DQ[7]    ; CLOCK_50                        ; 4.487  ; 4.487  ; Rise       ; CLOCK_50                                     ;
1585
;  SRAM_DQ[8]    ; CLOCK_50                        ; 4.564  ; 4.564  ; Rise       ; CLOCK_50                                     ;
1586
;  SRAM_DQ[9]    ; CLOCK_50                        ; 4.469  ; 4.469  ; Rise       ; CLOCK_50                                     ;
1587
;  SRAM_DQ[10]   ; CLOCK_50                        ; 4.578  ; 4.578  ; Rise       ; CLOCK_50                                     ;
1588
;  SRAM_DQ[11]   ; CLOCK_50                        ; 4.559  ; 4.559  ; Rise       ; CLOCK_50                                     ;
1589
;  SRAM_DQ[12]   ; CLOCK_50                        ; 4.550  ; 4.550  ; Rise       ; CLOCK_50                                     ;
1590
;  SRAM_DQ[13]   ; CLOCK_50                        ; 4.569  ; 4.569  ; Rise       ; CLOCK_50                                     ;
1591
;  SRAM_DQ[14]   ; CLOCK_50                        ; 4.764  ; 4.764  ; Rise       ; CLOCK_50                                     ;
1592
;  SRAM_DQ[15]   ; CLOCK_50                        ; 4.634  ; 4.634  ; Rise       ; CLOCK_50                                     ;
1593
; SRAM_OE_N      ; CLOCK_50                        ; 5.500  ; 5.500  ; Rise       ; CLOCK_50                                     ;
1594
; SRAM_WE_N      ; CLOCK_50                        ; 4.695  ; 4.695  ; Rise       ; CLOCK_50                                     ;
1595
; I2C_SCLK       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 4.842  ; 2.845  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1596
; I2C_SDAT       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 4.441  ; 4.441  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1597
; I2C_SCLK       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 2.845  ;        ; Fall       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1598
; TDO            ; TCK                             ; 3.958  ; 3.958  ; Rise       ; TCK                                          ;
1599
; SRAM_ADDR[*]   ; CLOCK_27[0]                     ; 2.601  ; 2.601  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1600
;  SRAM_ADDR[0]  ; CLOCK_27[0]                     ; 3.432  ; 3.432  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1601
;  SRAM_ADDR[1]  ; CLOCK_27[0]                     ; 3.575  ; 3.575  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1602
;  SRAM_ADDR[2]  ; CLOCK_27[0]                     ; 3.241  ; 3.241  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1603
;  SRAM_ADDR[3]  ; CLOCK_27[0]                     ; 3.271  ; 3.271  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1604
;  SRAM_ADDR[4]  ; CLOCK_27[0]                     ; 3.731  ; 3.731  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1605
;  SRAM_ADDR[5]  ; CLOCK_27[0]                     ; 2.601  ; 2.601  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1606
;  SRAM_ADDR[6]  ; CLOCK_27[0]                     ; 3.017  ; 3.017  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1607
;  SRAM_ADDR[7]  ; CLOCK_27[0]                     ; 2.657  ; 2.657  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1608
;  SRAM_ADDR[8]  ; CLOCK_27[0]                     ; 2.807  ; 2.807  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1609
;  SRAM_ADDR[9]  ; CLOCK_27[0]                     ; 2.971  ; 2.971  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1610
;  SRAM_ADDR[10] ; CLOCK_27[0]                     ; 2.971  ; 2.971  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1611
;  SRAM_ADDR[11] ; CLOCK_27[0]                     ; 3.104  ; 3.104  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1612
;  SRAM_ADDR[12] ; CLOCK_27[0]                     ; 3.093  ; 3.093  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1613
;  SRAM_ADDR[13] ; CLOCK_27[0]                     ; 3.203  ; 3.203  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1614
;  SRAM_ADDR[14] ; CLOCK_27[0]                     ; 3.481  ; 3.481  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1615
;  SRAM_ADDR[15] ; CLOCK_27[0]                     ; 3.486  ; 3.486  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1616
;  SRAM_ADDR[16] ; CLOCK_27[0]                     ; 3.553  ; 3.553  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1617
;  SRAM_ADDR[17] ; CLOCK_27[0]                     ; 3.402  ; 3.402  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1618
; VGA_B[*]       ; CLOCK_27[0]                     ; 2.426  ; 2.426  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1619
;  VGA_B[0]      ; CLOCK_27[0]                     ; 3.138  ; 3.138  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1620
;  VGA_B[1]      ; CLOCK_27[0]                     ; 2.842  ; 2.842  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1621
;  VGA_B[2]      ; CLOCK_27[0]                     ; 3.006  ; 3.006  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1622
;  VGA_B[3]      ; CLOCK_27[0]                     ; 2.426  ; 2.426  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1623
; VGA_G[*]       ; CLOCK_27[0]                     ; 2.919  ; 2.919  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1624
;  VGA_G[0]      ; CLOCK_27[0]                     ; 3.005  ; 3.005  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1625
;  VGA_G[1]      ; CLOCK_27[0]                     ; 3.082  ; 3.082  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1626
;  VGA_G[2]      ; CLOCK_27[0]                     ; 2.919  ; 2.919  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1627
;  VGA_G[3]      ; CLOCK_27[0]                     ; 3.329  ; 3.329  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1628
; VGA_HS         ; CLOCK_27[0]                     ; 2.350  ; 2.350  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1629
; VGA_R[*]       ; CLOCK_27[0]                     ; 3.000  ; 3.000  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1630
;  VGA_R[0]      ; CLOCK_27[0]                     ; 3.183  ; 3.183  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1631
;  VGA_R[1]      ; CLOCK_27[0]                     ; 3.286  ; 3.286  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1632
;  VGA_R[2]      ; CLOCK_27[0]                     ; 3.196  ; 3.196  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1633
;  VGA_R[3]      ; CLOCK_27[0]                     ; 3.000  ; 3.000  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1634
; VGA_VS         ; CLOCK_27[0]                     ; 2.397  ; 2.397  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1635
; AUD_XCK        ; CLOCK_27[0]                     ; 1.117  ;        ; Rise       ; p1|altpll_component|pll|clk[1]               ;
1636
; AUD_XCK        ; CLOCK_27[0]                     ;        ; 1.117  ; Fall       ; p1|altpll_component|pll|clk[1]               ;
1637
; DRAM_ADDR[*]   ; CLOCK_50                        ; 1.825  ; 1.825  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1638
;  DRAM_ADDR[0]  ; CLOCK_50                        ; 2.133  ; 2.133  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1639
;  DRAM_ADDR[1]  ; CLOCK_50                        ; 2.150  ; 2.150  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1640
;  DRAM_ADDR[2]  ; CLOCK_50                        ; 2.195  ; 2.195  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1641
;  DRAM_ADDR[3]  ; CLOCK_50                        ; 2.149  ; 2.149  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1642
;  DRAM_ADDR[4]  ; CLOCK_50                        ; 1.954  ; 1.954  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1643
;  DRAM_ADDR[5]  ; CLOCK_50                        ; 1.967  ; 1.967  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1644
;  DRAM_ADDR[6]  ; CLOCK_50                        ; 1.944  ; 1.944  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1645
;  DRAM_ADDR[7]  ; CLOCK_50                        ; 1.938  ; 1.938  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1646
;  DRAM_ADDR[8]  ; CLOCK_50                        ; 1.827  ; 1.827  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1647
;  DRAM_ADDR[9]  ; CLOCK_50                        ; 1.825  ; 1.825  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1648
;  DRAM_ADDR[10] ; CLOCK_50                        ; 2.132  ; 2.132  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1649
;  DRAM_ADDR[11] ; CLOCK_50                        ; 1.942  ; 1.942  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1650
; DRAM_BA_0      ; CLOCK_50                        ; 2.246  ; 2.246  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1651
; DRAM_BA_1      ; CLOCK_50                        ; 2.341  ; 2.341  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1652
; DRAM_CAS_N     ; CLOCK_50                        ; 2.115  ; 2.115  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1653
; DRAM_CKE       ; CLOCK_50                        ; 2.113  ; 2.113  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1654
; DRAM_CS_N      ; CLOCK_50                        ; 2.215  ; 2.215  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1655
; DRAM_DQ[*]     ; CLOCK_50                        ; 1.916  ; 1.916  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1656
;  DRAM_DQ[0]    ; CLOCK_50                        ; 2.200  ; 2.200  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1657
;  DRAM_DQ[1]    ; CLOCK_50                        ; 2.200  ; 2.200  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1658
;  DRAM_DQ[2]    ; CLOCK_50                        ; 2.068  ; 2.068  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1659
;  DRAM_DQ[3]    ; CLOCK_50                        ; 2.068  ; 2.068  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1660
;  DRAM_DQ[4]    ; CLOCK_50                        ; 2.207  ; 2.207  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1661
;  DRAM_DQ[5]    ; CLOCK_50                        ; 2.177  ; 2.177  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1662
;  DRAM_DQ[6]    ; CLOCK_50                        ; 2.217  ; 2.217  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1663
;  DRAM_DQ[7]    ; CLOCK_50                        ; 2.150  ; 2.150  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1664
;  DRAM_DQ[8]    ; CLOCK_50                        ; 1.916  ; 1.916  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1665
;  DRAM_DQ[9]    ; CLOCK_50                        ; 2.162  ; 2.162  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1666
;  DRAM_DQ[10]   ; CLOCK_50                        ; 2.071  ; 2.071  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1667
;  DRAM_DQ[11]   ; CLOCK_50                        ; 2.289  ; 2.289  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1668
;  DRAM_DQ[12]   ; CLOCK_50                        ; 2.203  ; 2.203  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1669
;  DRAM_DQ[13]   ; CLOCK_50                        ; 2.203  ; 2.203  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1670
;  DRAM_DQ[14]   ; CLOCK_50                        ; 2.203  ; 2.203  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1671
;  DRAM_DQ[15]   ; CLOCK_50                        ; 2.203  ; 2.203  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1672
; DRAM_LDQM      ; CLOCK_50                        ; 2.586  ; 2.586  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1673
; DRAM_RAS_N     ; CLOCK_50                        ; 2.028  ; 2.028  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1674
; DRAM_UDQM      ; CLOCK_50                        ; 2.647  ; 2.647  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1675
; DRAM_WE_N      ; CLOCK_50                        ; 1.844  ; 1.844  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1676
; DRAM_CLK       ; CLOCK_50                        ; -0.119 ;        ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
1677
; DRAM_CLK       ; CLOCK_50                        ;        ; -0.119 ; Fall       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
1678
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1679
 
1680
 
1681
+----------------------------------------------------------+
1682
; Propagation Delay                                        ;
1683
+------------+-------------+-------+-------+-------+-------+
1684
; Input Port ; Output Port ; RR    ; RF    ; FR    ; FF    ;
1685
+------------+-------------+-------+-------+-------+-------+
1686
; SW[0]      ; AUD_DACDAT  ; 4.931 ; 5.202 ; 5.202 ; 4.931 ;
1687
; SW[1]      ; AUD_DACDAT  ;       ; 5.033 ; 5.033 ;       ;
1688
+------------+-------------+-------+-------+-------+-------+
1689
 
1690
 
1691
+----------------------------------------------------------+
1692
; Minimum Propagation Delay                                ;
1693
+------------+-------------+-------+-------+-------+-------+
1694
; Input Port ; Output Port ; RR    ; RF    ; FR    ; FF    ;
1695
+------------+-------------+-------+-------+-------+-------+
1696
; SW[0]      ; AUD_DACDAT  ; 4.931 ; 4.802 ; 4.802 ; 4.931 ;
1697
; SW[1]      ; AUD_DACDAT  ;       ; 4.633 ; 4.633 ;       ;
1698
+------------+-------------+-------+-------+-------+-------+
1699
 
1700
 
1701
+---------------------------------------------------------------------------------------------------------------+
1702
; Multicorner Timing Analysis Summary                                                                           ;
1703
+-----------------------------------------------+----------+---------+----------+---------+---------------------+
1704
; Clock                                         ; Setup    ; Hold    ; Recovery ; Removal ; Minimum Pulse Width ;
1705
+-----------------------------------------------+----------+---------+----------+---------+---------------------+
1706
; Worst-case Slack                              ; -8.207   ; -2.702  ; -6.299   ; 0.0     ; -1.469              ;
1707
;  AUDIO_DAC:u11|LRCK_1X                        ; -1.477   ; 0.215   ; -2.733   ; 1.959   ; N/A                 ;
1708
;  AUDIO_DAC:u11|LRCK_2X                        ; -1.281   ; 0.805   ; -3.234   ; 2.025   ; -0.611              ;
1709
;  AUDIO_DAC:u11|LRCK_4X                        ; -3.812   ; 0.215   ; -3.362   ; 1.458   ; -0.611              ;
1710
;  AUDIO_DAC:u11|oAUD_BCK                       ; -0.490   ; 0.215   ; -2.710   ; 1.951   ; N/A                 ;
1711
;  CLOCK_50                                     ; -1.570   ; -2.702  ; N/A      ; N/A     ; N/A                 ;
1712
;  I2C_AV_Config:u10|mI2C_CTRL_CLK              ; -2.906   ; 0.215   ; N/A      ; N/A     ; N/A                 ;
1713
;  TCK                                          ; -4.280   ; 0.148   ; N/A      ; N/A     ; -1.469              ;
1714
;  USB_JTAG:u1|mTCK                             ; -1.098   ; 0.215   ; N/A      ; N/A     ; N/A                 ;
1715
;  p1|altpll_component|pll|clk[0]               ; -8.207   ; 0.215   ; -6.299   ; 3.466   ; N/A                 ;
1716
;  p1|altpll_component|pll|clk[1]               ; -0.493   ; 0.092   ; -5.585   ; 3.242   ; N/A                 ;
1717
;  u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; 12.201   ; 0.215   ; N/A      ; N/A     ; N/A                 ;
1718
; Design-wide TNS                               ; -321.936 ; -29.887 ; -819.726 ; 0.0     ; N/A                 ;
1719
;  AUDIO_DAC:u11|LRCK_1X                        ; -7.476   ; 0.000   ; -16.398  ; 0.000   ; N/A                 ;
1720
;  AUDIO_DAC:u11|LRCK_2X                        ; -12.787  ; 0.000   ; -49.977  ; 0.000   ; N/A                 ;
1721
;  AUDIO_DAC:u11|LRCK_4X                        ; -101.895 ; 0.000   ; -112.147 ; 0.000   ; N/A                 ;
1722
;  AUDIO_DAC:u11|oAUD_BCK                       ; -0.861   ; 0.000   ; -18.970  ; 0.000   ; N/A                 ;
1723
;  CLOCK_50                                     ; -12.001  ; -29.887 ; N/A      ; N/A     ; N/A                 ;
1724
;  I2C_AV_Config:u10|mI2C_CTRL_CLK              ; -72.732  ; 0.000   ; N/A      ; N/A     ; N/A                 ;
1725
;  TCK                                          ; -9.429   ; 0.000   ; N/A      ; N/A     ; N/A                 ;
1726
;  USB_JTAG:u1|mTCK                             ; -9.913   ; 0.000   ; N/A      ; N/A     ; N/A                 ;
1727
;  p1|altpll_component|pll|clk[0]               ; -93.462  ; 0.000   ; -449.521 ; 0.000   ; N/A                 ;
1728
;  p1|altpll_component|pll|clk[1]               ; -1.956   ; 0.000   ; -172.713 ; 0.000   ; N/A                 ;
1729
;  u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; 0.000    ; 0.000   ; N/A      ; N/A     ; N/A                 ;
1730
+-----------------------------------------------+----------+---------+----------+---------+---------------------+
1731
 
1732
 
1733
+------------------------------------------------------------------------------------------------------------------------------+
1734
; Setup Times                                                                                                                  ;
1735
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1736
; Data Port    ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                              ;
1737
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1738
; FL_DQ[*]     ; CLOCK_50                        ; 4.681  ; 4.681  ; Rise       ; CLOCK_50                                     ;
1739
;  FL_DQ[0]    ; CLOCK_50                        ; 4.167  ; 4.167  ; Rise       ; CLOCK_50                                     ;
1740
;  FL_DQ[1]    ; CLOCK_50                        ; 4.221  ; 4.221  ; Rise       ; CLOCK_50                                     ;
1741
;  FL_DQ[2]    ; CLOCK_50                        ; 4.028  ; 4.028  ; Rise       ; CLOCK_50                                     ;
1742
;  FL_DQ[3]    ; CLOCK_50                        ; 4.109  ; 4.109  ; Rise       ; CLOCK_50                                     ;
1743
;  FL_DQ[4]    ; CLOCK_50                        ; 4.623  ; 4.623  ; Rise       ; CLOCK_50                                     ;
1744
;  FL_DQ[5]    ; CLOCK_50                        ; 4.539  ; 4.539  ; Rise       ; CLOCK_50                                     ;
1745
;  FL_DQ[6]    ; CLOCK_50                        ; 4.681  ; 4.681  ; Rise       ; CLOCK_50                                     ;
1746
;  FL_DQ[7]    ; CLOCK_50                        ; 4.520  ; 4.520  ; Rise       ; CLOCK_50                                     ;
1747
; KEY[*]       ; CLOCK_50                        ; 7.902  ; 7.902  ; Rise       ; CLOCK_50                                     ;
1748
;  KEY[0]      ; CLOCK_50                        ; 7.902  ; 7.902  ; Rise       ; CLOCK_50                                     ;
1749
; PS2_CLK      ; CLOCK_50                        ; 4.587  ; 4.587  ; Rise       ; CLOCK_50                                     ;
1750
; PS2_DAT      ; CLOCK_50                        ; 4.594  ; 4.594  ; Rise       ; CLOCK_50                                     ;
1751
; SRAM_DQ[*]   ; CLOCK_50                        ; 7.346  ; 7.346  ; Rise       ; CLOCK_50                                     ;
1752
;  SRAM_DQ[0]  ; CLOCK_50                        ; 6.894  ; 6.894  ; Rise       ; CLOCK_50                                     ;
1753
;  SRAM_DQ[1]  ; CLOCK_50                        ; 6.418  ; 6.418  ; Rise       ; CLOCK_50                                     ;
1754
;  SRAM_DQ[2]  ; CLOCK_50                        ; 5.690  ; 5.690  ; Rise       ; CLOCK_50                                     ;
1755
;  SRAM_DQ[3]  ; CLOCK_50                        ; 6.759  ; 6.759  ; Rise       ; CLOCK_50                                     ;
1756
;  SRAM_DQ[4]  ; CLOCK_50                        ; 6.123  ; 6.123  ; Rise       ; CLOCK_50                                     ;
1757
;  SRAM_DQ[5]  ; CLOCK_50                        ; 6.675  ; 6.675  ; Rise       ; CLOCK_50                                     ;
1758
;  SRAM_DQ[6]  ; CLOCK_50                        ; 6.104  ; 6.104  ; Rise       ; CLOCK_50                                     ;
1759
;  SRAM_DQ[7]  ; CLOCK_50                        ; 6.674  ; 6.674  ; Rise       ; CLOCK_50                                     ;
1760
;  SRAM_DQ[8]  ; CLOCK_50                        ; 7.346  ; 7.346  ; Rise       ; CLOCK_50                                     ;
1761
;  SRAM_DQ[9]  ; CLOCK_50                        ; 6.634  ; 6.634  ; Rise       ; CLOCK_50                                     ;
1762
;  SRAM_DQ[10] ; CLOCK_50                        ; 5.528  ; 5.528  ; Rise       ; CLOCK_50                                     ;
1763
;  SRAM_DQ[11] ; CLOCK_50                        ; 6.445  ; 6.445  ; Rise       ; CLOCK_50                                     ;
1764
;  SRAM_DQ[12] ; CLOCK_50                        ; 6.159  ; 6.159  ; Rise       ; CLOCK_50                                     ;
1765
;  SRAM_DQ[13] ; CLOCK_50                        ; 6.111  ; 6.111  ; Rise       ; CLOCK_50                                     ;
1766
;  SRAM_DQ[14] ; CLOCK_50                        ; 5.812  ; 5.812  ; Rise       ; CLOCK_50                                     ;
1767
;  SRAM_DQ[15] ; CLOCK_50                        ; 7.128  ; 7.128  ; Rise       ; CLOCK_50                                     ;
1768
; TCK          ; CLOCK_50                        ; 1.706  ; 1.706  ; Rise       ; CLOCK_50                                     ;
1769
; I2C_SDAT     ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 5.658  ; 5.658  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1770
; KEY[*]       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 6.291  ; 6.291  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1771
;  KEY[0]      ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 6.291  ; 6.291  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1772
; TCS          ; USB_JTAG:u1|mTCK                ; 3.841  ; 3.841  ; Rise       ; USB_JTAG:u1|mTCK                             ;
1773
; TDI          ; USB_JTAG:u1|mTCK                ; 3.023  ; 3.023  ; Rise       ; USB_JTAG:u1|mTCK                             ;
1774
; SRAM_DQ[*]   ; CLOCK_27[0]                     ; 11.831 ; 11.831 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1775
;  SRAM_DQ[4]  ; CLOCK_27[0]                     ; 9.880  ; 9.880  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1776
;  SRAM_DQ[5]  ; CLOCK_27[0]                     ; 9.905  ; 9.905  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1777
;  SRAM_DQ[6]  ; CLOCK_27[0]                     ; 9.635  ; 9.635  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1778
;  SRAM_DQ[7]  ; CLOCK_27[0]                     ; 11.508 ; 11.508 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1779
;  SRAM_DQ[12] ; CLOCK_27[0]                     ; 9.475  ; 9.475  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1780
;  SRAM_DQ[13] ; CLOCK_27[0]                     ; 9.193  ; 9.193  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1781
;  SRAM_DQ[14] ; CLOCK_27[0]                     ; 9.341  ; 9.341  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1782
;  SRAM_DQ[15] ; CLOCK_27[0]                     ; 11.831 ; 11.831 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1783
; DRAM_DQ[*]   ; CLOCK_50                        ; 7.723  ; 7.723  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1784
;  DRAM_DQ[0]  ; CLOCK_50                        ; 7.209  ; 7.209  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1785
;  DRAM_DQ[1]  ; CLOCK_50                        ; 7.160  ; 7.160  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1786
;  DRAM_DQ[2]  ; CLOCK_50                        ; 7.479  ; 7.479  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1787
;  DRAM_DQ[3]  ; CLOCK_50                        ; 7.134  ; 7.134  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1788
;  DRAM_DQ[4]  ; CLOCK_50                        ; 7.559  ; 7.559  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1789
;  DRAM_DQ[5]  ; CLOCK_50                        ; 7.175  ; 7.175  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1790
;  DRAM_DQ[6]  ; CLOCK_50                        ; 7.182  ; 7.182  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1791
;  DRAM_DQ[7]  ; CLOCK_50                        ; 7.723  ; 7.723  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1792
;  DRAM_DQ[8]  ; CLOCK_50                        ; 6.713  ; 6.713  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1793
;  DRAM_DQ[9]  ; CLOCK_50                        ; 6.828  ; 6.828  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1794
;  DRAM_DQ[10] ; CLOCK_50                        ; 6.860  ; 6.860  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1795
;  DRAM_DQ[11] ; CLOCK_50                        ; 6.589  ; 6.589  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1796
;  DRAM_DQ[12] ; CLOCK_50                        ; 7.306  ; 7.306  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1797
;  DRAM_DQ[13] ; CLOCK_50                        ; 6.709  ; 6.709  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1798
;  DRAM_DQ[14] ; CLOCK_50                        ; 7.651  ; 7.651  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1799
;  DRAM_DQ[15] ; CLOCK_50                        ; 7.324  ; 7.324  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1800
; KEY[*]       ; CLOCK_50                        ; 8.649  ; 8.649  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1801
;  KEY[0]      ; CLOCK_50                        ; 8.649  ; 8.649  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1802
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1803
 
1804
 
1805
+------------------------------------------------------------------------------------------------------------------------------+
1806
; Hold Times                                                                                                                   ;
1807
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1808
; Data Port    ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                              ;
1809
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1810
; FL_DQ[*]     ; CLOCK_50                        ; -1.725 ; -1.725 ; Rise       ; CLOCK_50                                     ;
1811
;  FL_DQ[0]    ; CLOCK_50                        ; -1.810 ; -1.810 ; Rise       ; CLOCK_50                                     ;
1812
;  FL_DQ[1]    ; CLOCK_50                        ; -1.850 ; -1.850 ; Rise       ; CLOCK_50                                     ;
1813
;  FL_DQ[2]    ; CLOCK_50                        ; -1.725 ; -1.725 ; Rise       ; CLOCK_50                                     ;
1814
;  FL_DQ[3]    ; CLOCK_50                        ; -1.785 ; -1.785 ; Rise       ; CLOCK_50                                     ;
1815
;  FL_DQ[4]    ; CLOCK_50                        ; -2.027 ; -2.027 ; Rise       ; CLOCK_50                                     ;
1816
;  FL_DQ[5]    ; CLOCK_50                        ; -1.960 ; -1.960 ; Rise       ; CLOCK_50                                     ;
1817
;  FL_DQ[6]    ; CLOCK_50                        ; -2.065 ; -2.065 ; Rise       ; CLOCK_50                                     ;
1818
;  FL_DQ[7]    ; CLOCK_50                        ; -1.948 ; -1.948 ; Rise       ; CLOCK_50                                     ;
1819
; KEY[*]       ; CLOCK_50                        ; -1.777 ; -1.777 ; Rise       ; CLOCK_50                                     ;
1820
;  KEY[0]      ; CLOCK_50                        ; -1.777 ; -1.777 ; Rise       ; CLOCK_50                                     ;
1821
; PS2_CLK      ; CLOCK_50                        ; -2.005 ; -2.005 ; Rise       ; CLOCK_50                                     ;
1822
; PS2_DAT      ; CLOCK_50                        ; -2.007 ; -2.007 ; Rise       ; CLOCK_50                                     ;
1823
; SRAM_DQ[*]   ; CLOCK_50                        ; -2.353 ; -2.353 ; Rise       ; CLOCK_50                                     ;
1824
;  SRAM_DQ[0]  ; CLOCK_50                        ; -2.929 ; -2.929 ; Rise       ; CLOCK_50                                     ;
1825
;  SRAM_DQ[1]  ; CLOCK_50                        ; -2.752 ; -2.752 ; Rise       ; CLOCK_50                                     ;
1826
;  SRAM_DQ[2]  ; CLOCK_50                        ; -2.456 ; -2.456 ; Rise       ; CLOCK_50                                     ;
1827
;  SRAM_DQ[3]  ; CLOCK_50                        ; -2.817 ; -2.817 ; Rise       ; CLOCK_50                                     ;
1828
;  SRAM_DQ[4]  ; CLOCK_50                        ; -2.578 ; -2.578 ; Rise       ; CLOCK_50                                     ;
1829
;  SRAM_DQ[5]  ; CLOCK_50                        ; -2.785 ; -2.785 ; Rise       ; CLOCK_50                                     ;
1830
;  SRAM_DQ[6]  ; CLOCK_50                        ; -2.540 ; -2.540 ; Rise       ; CLOCK_50                                     ;
1831
;  SRAM_DQ[7]  ; CLOCK_50                        ; -2.776 ; -2.776 ; Rise       ; CLOCK_50                                     ;
1832
;  SRAM_DQ[8]  ; CLOCK_50                        ; -3.138 ; -3.138 ; Rise       ; CLOCK_50                                     ;
1833
;  SRAM_DQ[9]  ; CLOCK_50                        ; -2.805 ; -2.805 ; Rise       ; CLOCK_50                                     ;
1834
;  SRAM_DQ[10] ; CLOCK_50                        ; -2.353 ; -2.353 ; Rise       ; CLOCK_50                                     ;
1835
;  SRAM_DQ[11] ; CLOCK_50                        ; -2.688 ; -2.688 ; Rise       ; CLOCK_50                                     ;
1836
;  SRAM_DQ[12] ; CLOCK_50                        ; -2.598 ; -2.598 ; Rise       ; CLOCK_50                                     ;
1837
;  SRAM_DQ[13] ; CLOCK_50                        ; -2.559 ; -2.559 ; Rise       ; CLOCK_50                                     ;
1838
;  SRAM_DQ[14] ; CLOCK_50                        ; -2.464 ; -2.464 ; Rise       ; CLOCK_50                                     ;
1839
;  SRAM_DQ[15] ; CLOCK_50                        ; -2.973 ; -2.973 ; Rise       ; CLOCK_50                                     ;
1840
; TCK          ; CLOCK_50                        ; -0.573 ; -0.573 ; Rise       ; CLOCK_50                                     ;
1841
; I2C_SDAT     ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; -1.985 ; -1.985 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1842
; KEY[*]       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; -2.642 ; -2.642 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1843
;  KEY[0]      ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; -2.642 ; -2.642 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
1844
; TCS          ; USB_JTAG:u1|mTCK                ; -1.357 ; -1.357 ; Rise       ; USB_JTAG:u1|mTCK                             ;
1845
; TDI          ; USB_JTAG:u1|mTCK                ; -1.259 ; -1.259 ; Rise       ; USB_JTAG:u1|mTCK                             ;
1846
; SRAM_DQ[*]   ; CLOCK_27[0]                     ; -4.631 ; -4.631 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1847
;  SRAM_DQ[4]  ; CLOCK_27[0]                     ; -4.853 ; -4.853 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1848
;  SRAM_DQ[5]  ; CLOCK_27[0]                     ; -4.965 ; -4.965 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1849
;  SRAM_DQ[6]  ; CLOCK_27[0]                     ; -4.711 ; -4.711 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1850
;  SRAM_DQ[7]  ; CLOCK_27[0]                     ; -4.717 ; -4.717 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1851
;  SRAM_DQ[12] ; CLOCK_27[0]                     ; -4.718 ; -4.718 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1852
;  SRAM_DQ[13] ; CLOCK_27[0]                     ; -4.687 ; -4.687 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1853
;  SRAM_DQ[14] ; CLOCK_27[0]                     ; -4.631 ; -4.631 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1854
;  SRAM_DQ[15] ; CLOCK_27[0]                     ; -4.821 ; -4.821 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
1855
; DRAM_DQ[*]   ; CLOCK_50                        ; -3.696 ; -3.696 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1856
;  DRAM_DQ[0]  ; CLOCK_50                        ; -4.008 ; -4.008 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1857
;  DRAM_DQ[1]  ; CLOCK_50                        ; -3.979 ; -3.979 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1858
;  DRAM_DQ[2]  ; CLOCK_50                        ; -4.109 ; -4.109 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1859
;  DRAM_DQ[3]  ; CLOCK_50                        ; -3.959 ; -3.959 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1860
;  DRAM_DQ[4]  ; CLOCK_50                        ; -4.153 ; -4.153 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1861
;  DRAM_DQ[5]  ; CLOCK_50                        ; -3.979 ; -3.979 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1862
;  DRAM_DQ[6]  ; CLOCK_50                        ; -3.999 ; -3.999 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1863
;  DRAM_DQ[7]  ; CLOCK_50                        ; -4.241 ; -4.241 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1864
;  DRAM_DQ[8]  ; CLOCK_50                        ; -3.776 ; -3.776 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1865
;  DRAM_DQ[9]  ; CLOCK_50                        ; -3.843 ; -3.843 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1866
;  DRAM_DQ[10] ; CLOCK_50                        ; -3.868 ; -3.868 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1867
;  DRAM_DQ[11] ; CLOCK_50                        ; -3.696 ; -3.696 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1868
;  DRAM_DQ[12] ; CLOCK_50                        ; -4.063 ; -4.063 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1869
;  DRAM_DQ[13] ; CLOCK_50                        ; -3.774 ; -3.774 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1870
;  DRAM_DQ[14] ; CLOCK_50                        ; -4.188 ; -4.188 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1871
;  DRAM_DQ[15] ; CLOCK_50                        ; -4.010 ; -4.010 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1872
; KEY[*]       ; CLOCK_50                        ; -4.112 ; -4.112 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1873
;  KEY[0]      ; CLOCK_50                        ; -4.112 ; -4.112 ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
1874
+--------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1875
 
1876
 
1877
+--------------------------------------------------------------------------------------------------------------------------------+
1878
; Clock to Output Times                                                                                                          ;
1879
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1880
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                              ;
1881
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
1882
; AUD_ADCLRCK    ; AUDIO_DAC:u11|LRCK_1X           ; 7.897  ;        ; Rise       ; AUDIO_DAC:u11|LRCK_1X                        ;
1883
; AUD_DACLRCK    ; AUDIO_DAC:u11|LRCK_1X           ; 7.907  ;        ; Rise       ; AUDIO_DAC:u11|LRCK_1X                        ;
1884
; AUD_ADCLRCK    ; AUDIO_DAC:u11|LRCK_1X           ;        ; 7.897  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
1885
; AUD_DACDAT     ; AUDIO_DAC:u11|LRCK_1X           ; 17.724 ; 17.724 ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
1886
; AUD_DACLRCK    ; AUDIO_DAC:u11|LRCK_1X           ;        ; 7.907  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
1887
; AUD_DACDAT     ; AUDIO_DAC:u11|LRCK_2X           ; 16.094 ; 16.094 ; Fall       ; AUDIO_DAC:u11|LRCK_2X                        ;
1888
; AUD_BCLK       ; AUDIO_DAC:u11|oAUD_BCK          ; 7.961  ;        ; Rise       ; AUDIO_DAC:u11|oAUD_BCK                       ;
1889
; AUD_BCLK       ; AUDIO_DAC:u11|oAUD_BCK          ;        ; 7.961  ; Fall       ; AUDIO_DAC:u11|oAUD_BCK                       ;
1890
; AUD_DACDAT     ; AUDIO_DAC:u11|oAUD_BCK          ; 18.331 ; 18.331 ; Fall       ; AUDIO_DAC:u11|oAUD_BCK                       ;
1891
; FL_ADDR[*]     ; CLOCK_50                        ; 12.237 ; 12.237 ; Rise       ; CLOCK_50                                     ;
1892
;  FL_ADDR[0]    ; CLOCK_50                        ; 11.637 ; 11.637 ; Rise       ; CLOCK_50                                     ;
1893
;  FL_ADDR[1]    ; CLOCK_50                        ; 11.654 ; 11.654 ; Rise       ; CLOCK_50                                     ;
1894
;  FL_ADDR[2]    ; CLOCK_50                        ; 10.537 ; 10.537 ; Rise       ; CLOCK_50                                     ;
1895
;  FL_ADDR[3]    ; CLOCK_50                        ; 11.960 ; 11.960 ; Rise       ; CLOCK_50                                     ;
1896
;  FL_ADDR[4]    ; CLOCK_50                        ; 10.852 ; 10.852 ; Rise       ; CLOCK_50                                     ;
1897
;  FL_ADDR[5]    ; CLOCK_50                        ; 12.237 ; 12.237 ; Rise       ; CLOCK_50                                     ;
1898
;  FL_ADDR[6]    ; CLOCK_50                        ; 11.640 ; 11.640 ; Rise       ; CLOCK_50                                     ;
1899
;  FL_ADDR[7]    ; CLOCK_50                        ; 11.659 ; 11.659 ; Rise       ; CLOCK_50                                     ;
1900
;  FL_ADDR[8]    ; CLOCK_50                        ; 11.086 ; 11.086 ; Rise       ; CLOCK_50                                     ;
1901
;  FL_ADDR[9]    ; CLOCK_50                        ; 11.593 ; 11.593 ; Rise       ; CLOCK_50                                     ;
1902
;  FL_ADDR[10]   ; CLOCK_50                        ; 11.632 ; 11.632 ; Rise       ; CLOCK_50                                     ;
1903
;  FL_ADDR[11]   ; CLOCK_50                        ; 11.856 ; 11.856 ; Rise       ; CLOCK_50                                     ;
1904
;  FL_ADDR[12]   ; CLOCK_50                        ; 9.936  ; 9.936  ; Rise       ; CLOCK_50                                     ;
1905
;  FL_ADDR[13]   ; CLOCK_50                        ; 10.068 ; 10.068 ; Rise       ; CLOCK_50                                     ;
1906
;  FL_ADDR[14]   ; CLOCK_50                        ; 9.846  ; 9.846  ; Rise       ; CLOCK_50                                     ;
1907
;  FL_ADDR[15]   ; CLOCK_50                        ; 10.079 ; 10.079 ; Rise       ; CLOCK_50                                     ;
1908
;  FL_ADDR[16]   ; CLOCK_50                        ; 10.022 ; 10.022 ; Rise       ; CLOCK_50                                     ;
1909
;  FL_ADDR[17]   ; CLOCK_50                        ; 10.273 ; 10.273 ; Rise       ; CLOCK_50                                     ;
1910
;  FL_ADDR[18]   ; CLOCK_50                        ; 9.575  ; 9.575  ; Rise       ; CLOCK_50                                     ;
1911
;  FL_ADDR[19]   ; CLOCK_50                        ; 9.999  ; 9.999  ; Rise       ; CLOCK_50                                     ;
1912
;  FL_ADDR[20]   ; CLOCK_50                        ; 10.304 ; 10.304 ; Rise       ; CLOCK_50                                     ;
1913
;  FL_ADDR[21]   ; CLOCK_50                        ; 10.069 ; 10.069 ; Rise       ; CLOCK_50                                     ;
1914
; FL_CE_N        ; CLOCK_50                        ; 7.575  ; 7.575  ; Rise       ; CLOCK_50                                     ;
1915
; FL_DQ[*]       ; CLOCK_50                        ; 10.889 ; 10.889 ; Rise       ; CLOCK_50                                     ;
1916
;  FL_DQ[0]      ; CLOCK_50                        ; 9.462  ; 9.462  ; Rise       ; CLOCK_50                                     ;
1917
;  FL_DQ[1]      ; CLOCK_50                        ; 9.206  ; 9.206  ; Rise       ; CLOCK_50                                     ;
1918
;  FL_DQ[2]      ; CLOCK_50                        ; 9.938  ; 9.938  ; Rise       ; CLOCK_50                                     ;
1919
;  FL_DQ[3]      ; CLOCK_50                        ; 9.184  ; 9.184  ; Rise       ; CLOCK_50                                     ;
1920
;  FL_DQ[4]      ; CLOCK_50                        ; 10.889 ; 10.889 ; Rise       ; CLOCK_50                                     ;
1921
;  FL_DQ[5]      ; CLOCK_50                        ; 10.696 ; 10.696 ; Rise       ; CLOCK_50                                     ;
1922
;  FL_DQ[6]      ; CLOCK_50                        ; 10.431 ; 10.431 ; Rise       ; CLOCK_50                                     ;
1923
;  FL_DQ[7]      ; CLOCK_50                        ; 10.695 ; 10.695 ; Rise       ; CLOCK_50                                     ;
1924
; FL_OE_N        ; CLOCK_50                        ; 8.623  ; 8.623  ; Rise       ; CLOCK_50                                     ;
1925
; FL_WE_N        ; CLOCK_50                        ; 9.683  ; 9.683  ; Rise       ; CLOCK_50                                     ;
1926
; HEX0[*]        ; CLOCK_50                        ; 9.803  ; 9.803  ; Rise       ; CLOCK_50                                     ;
1927
;  HEX0[0]       ; CLOCK_50                        ; 9.252  ; 9.252  ; Rise       ; CLOCK_50                                     ;
1928
;  HEX0[1]       ; CLOCK_50                        ; 8.771  ; 8.771  ; Rise       ; CLOCK_50                                     ;
1929
;  HEX0[2]       ; CLOCK_50                        ; 9.696  ; 9.696  ; Rise       ; CLOCK_50                                     ;
1930
;  HEX0[3]       ; CLOCK_50                        ; 9.803  ; 9.803  ; Rise       ; CLOCK_50                                     ;
1931
;  HEX0[4]       ; CLOCK_50                        ; 9.612  ; 9.612  ; Rise       ; CLOCK_50                                     ;
1932
;  HEX0[5]       ; CLOCK_50                        ; 8.850  ; 8.850  ; Rise       ; CLOCK_50                                     ;
1933
;  HEX0[6]       ; CLOCK_50                        ; 9.719  ; 9.719  ; Rise       ; CLOCK_50                                     ;
1934
; HEX1[*]        ; CLOCK_50                        ; 10.139 ; 10.139 ; Rise       ; CLOCK_50                                     ;
1935
;  HEX1[0]       ; CLOCK_50                        ; 9.522  ; 9.522  ; Rise       ; CLOCK_50                                     ;
1936
;  HEX1[1]       ; CLOCK_50                        ; 9.460  ; 9.460  ; Rise       ; CLOCK_50                                     ;
1937
;  HEX1[2]       ; CLOCK_50                        ; 8.904  ; 8.904  ; Rise       ; CLOCK_50                                     ;
1938
;  HEX1[3]       ; CLOCK_50                        ; 8.701  ; 8.701  ; Rise       ; CLOCK_50                                     ;
1939
;  HEX1[4]       ; CLOCK_50                        ; 9.648  ; 9.648  ; Rise       ; CLOCK_50                                     ;
1940
;  HEX1[5]       ; CLOCK_50                        ; 10.139 ; 10.139 ; Rise       ; CLOCK_50                                     ;
1941
;  HEX1[6]       ; CLOCK_50                        ; 10.077 ; 10.077 ; Rise       ; CLOCK_50                                     ;
1942
; HEX2[*]        ; CLOCK_50                        ; 10.291 ; 10.291 ; Rise       ; CLOCK_50                                     ;
1943
;  HEX2[0]       ; CLOCK_50                        ; 9.911  ; 9.911  ; Rise       ; CLOCK_50                                     ;
1944
;  HEX2[1]       ; CLOCK_50                        ; 9.808  ; 9.808  ; Rise       ; CLOCK_50                                     ;
1945
;  HEX2[2]       ; CLOCK_50                        ; 9.853  ; 9.853  ; Rise       ; CLOCK_50                                     ;
1946
;  HEX2[3]       ; CLOCK_50                        ; 10.291 ; 10.291 ; Rise       ; CLOCK_50                                     ;
1947
;  HEX2[4]       ; CLOCK_50                        ; 9.796  ; 9.796  ; Rise       ; CLOCK_50                                     ;
1948
;  HEX2[5]       ; CLOCK_50                        ; 10.051 ; 10.051 ; Rise       ; CLOCK_50                                     ;
1949
;  HEX2[6]       ; CLOCK_50                        ; 9.829  ; 9.829  ; Rise       ; CLOCK_50                                     ;
1950
; HEX3[*]        ; CLOCK_50                        ; 10.028 ; 10.028 ; Rise       ; CLOCK_50                                     ;
1951
;  HEX3[0]       ; CLOCK_50                        ; 9.998  ; 9.998  ; Rise       ; CLOCK_50                                     ;
1952
;  HEX3[1]       ; CLOCK_50                        ; 10.028 ; 10.028 ; Rise       ; CLOCK_50                                     ;
1953
;  HEX3[2]       ; CLOCK_50                        ; 10.006 ; 10.006 ; Rise       ; CLOCK_50                                     ;
1954
;  HEX3[3]       ; CLOCK_50                        ; 9.439  ; 9.439  ; Rise       ; CLOCK_50                                     ;
1955
;  HEX3[4]       ; CLOCK_50                        ; 9.103  ; 9.103  ; Rise       ; CLOCK_50                                     ;
1956
;  HEX3[5]       ; CLOCK_50                        ; 8.943  ; 8.943  ; Rise       ; CLOCK_50                                     ;
1957
;  HEX3[6]       ; CLOCK_50                        ; 10.019 ; 10.019 ; Rise       ; CLOCK_50                                     ;
1958
; LEDG[*]        ; CLOCK_50                        ; 8.434  ; 8.434  ; Rise       ; CLOCK_50                                     ;
1959
;  LEDG[0]       ; CLOCK_50                        ; 8.003  ; 8.003  ; Rise       ; CLOCK_50                                     ;
1960
;  LEDG[1]       ; CLOCK_50                        ; 8.324  ; 8.324  ; Rise       ; CLOCK_50                                     ;
1961
;  LEDG[2]       ; CLOCK_50                        ; 8.357  ; 8.357  ; Rise       ; CLOCK_50                                     ;
1962
;  LEDG[3]       ; CLOCK_50                        ; 8.331  ; 8.331  ; Rise       ; CLOCK_50                                     ;
1963
;  LEDG[4]       ; CLOCK_50                        ; 8.434  ; 8.434  ; Rise       ; CLOCK_50                                     ;
1964
;  LEDG[5]       ; CLOCK_50                        ; 8.024  ; 8.024  ; Rise       ; CLOCK_50                                     ;
1965
;  LEDG[6]       ; CLOCK_50                        ; 8.408  ; 8.408  ; Rise       ; CLOCK_50                                     ;
1966
;  LEDG[7]       ; CLOCK_50                        ; 8.382  ; 8.382  ; Rise       ; CLOCK_50                                     ;
1967
; LEDR[*]        ; CLOCK_50                        ; 8.900  ; 8.900  ; Rise       ; CLOCK_50                                     ;
1968
;  LEDR[0]       ; CLOCK_50                        ; 7.980  ; 7.980  ; Rise       ; CLOCK_50                                     ;
1969
;  LEDR[1]       ; CLOCK_50                        ; 8.008  ; 8.008  ; Rise       ; CLOCK_50                                     ;
1970
;  LEDR[2]       ; CLOCK_50                        ; 8.365  ; 8.365  ; Rise       ; CLOCK_50                                     ;
1971
;  LEDR[3]       ; CLOCK_50                        ; 8.727  ; 8.727  ; Rise       ; CLOCK_50                                     ;
1972
;  LEDR[4]       ; CLOCK_50                        ; 8.900  ; 8.900  ; Rise       ; CLOCK_50                                     ;
1973
;  LEDR[5]       ; CLOCK_50                        ; 8.700  ; 8.700  ; Rise       ; CLOCK_50                                     ;
1974
;  LEDR[6]       ; CLOCK_50                        ; 8.486  ; 8.486  ; Rise       ; CLOCK_50                                     ;
1975
;  LEDR[7]       ; CLOCK_50                        ; 8.572  ; 8.572  ; Rise       ; CLOCK_50                                     ;
1976
;  LEDR[8]       ; CLOCK_50                        ; 8.864  ; 8.864  ; Rise       ; CLOCK_50                                     ;
1977
;  LEDR[9]       ; CLOCK_50                        ; 8.876  ; 8.876  ; Rise       ; CLOCK_50                                     ;
1978
; SRAM_ADDR[*]   ; CLOCK_50                        ; 11.345 ; 11.345 ; Rise       ; CLOCK_50                                     ;
1979
;  SRAM_ADDR[0]  ; CLOCK_50                        ; 10.879 ; 10.879 ; Rise       ; CLOCK_50                                     ;
1980
;  SRAM_ADDR[1]  ; CLOCK_50                        ; 10.638 ; 10.638 ; Rise       ; CLOCK_50                                     ;
1981
;  SRAM_ADDR[2]  ; CLOCK_50                        ; 10.442 ; 10.442 ; Rise       ; CLOCK_50                                     ;
1982
;  SRAM_ADDR[3]  ; CLOCK_50                        ; 10.465 ; 10.465 ; Rise       ; CLOCK_50                                     ;
1983
;  SRAM_ADDR[4]  ; CLOCK_50                        ; 10.650 ; 10.650 ; Rise       ; CLOCK_50                                     ;
1984
;  SRAM_ADDR[5]  ; CLOCK_50                        ; 8.862  ; 8.862  ; Rise       ; CLOCK_50                                     ;
1985
;  SRAM_ADDR[6]  ; CLOCK_50                        ; 9.490  ; 9.490  ; Rise       ; CLOCK_50                                     ;
1986
;  SRAM_ADDR[7]  ; CLOCK_50                        ; 8.932  ; 8.932  ; Rise       ; CLOCK_50                                     ;
1987
;  SRAM_ADDR[8]  ; CLOCK_50                        ; 9.299  ; 9.299  ; Rise       ; CLOCK_50                                     ;
1988
;  SRAM_ADDR[9]  ; CLOCK_50                        ; 10.049 ; 10.049 ; Rise       ; CLOCK_50                                     ;
1989
;  SRAM_ADDR[10] ; CLOCK_50                        ; 10.089 ; 10.089 ; Rise       ; CLOCK_50                                     ;
1990
;  SRAM_ADDR[11] ; CLOCK_50                        ; 10.060 ; 10.060 ; Rise       ; CLOCK_50                                     ;
1991
;  SRAM_ADDR[12] ; CLOCK_50                        ; 10.331 ; 10.331 ; Rise       ; CLOCK_50                                     ;
1992
;  SRAM_ADDR[13] ; CLOCK_50                        ; 10.659 ; 10.659 ; Rise       ; CLOCK_50                                     ;
1993
;  SRAM_ADDR[14] ; CLOCK_50                        ; 10.570 ; 10.570 ; Rise       ; CLOCK_50                                     ;
1994
;  SRAM_ADDR[15] ; CLOCK_50                        ; 10.601 ; 10.601 ; Rise       ; CLOCK_50                                     ;
1995
;  SRAM_ADDR[16] ; CLOCK_50                        ; 11.345 ; 11.345 ; Rise       ; CLOCK_50                                     ;
1996
;  SRAM_ADDR[17] ; CLOCK_50                        ; 11.197 ; 11.197 ; Rise       ; CLOCK_50                                     ;
1997
; SRAM_DQ[*]     ; CLOCK_50                        ; 11.882 ; 11.882 ; Rise       ; CLOCK_50                                     ;
1998
;  SRAM_DQ[0]    ; CLOCK_50                        ; 11.200 ; 11.200 ; Rise       ; CLOCK_50                                     ;
1999
;  SRAM_DQ[1]    ; CLOCK_50                        ; 11.210 ; 11.210 ; Rise       ; CLOCK_50                                     ;
2000
;  SRAM_DQ[2]    ; CLOCK_50                        ; 11.535 ; 11.535 ; Rise       ; CLOCK_50                                     ;
2001
;  SRAM_DQ[3]    ; CLOCK_50                        ; 11.545 ; 11.545 ; Rise       ; CLOCK_50                                     ;
2002
;  SRAM_DQ[4]    ; CLOCK_50                        ; 10.671 ; 10.671 ; Rise       ; CLOCK_50                                     ;
2003
;  SRAM_DQ[5]    ; CLOCK_50                        ; 10.669 ; 10.669 ; Rise       ; CLOCK_50                                     ;
2004
;  SRAM_DQ[6]    ; CLOCK_50                        ; 10.960 ; 10.960 ; Rise       ; CLOCK_50                                     ;
2005
;  SRAM_DQ[7]    ; CLOCK_50                        ; 10.965 ; 10.965 ; Rise       ; CLOCK_50                                     ;
2006
;  SRAM_DQ[8]    ; CLOCK_50                        ; 11.515 ; 11.515 ; Rise       ; CLOCK_50                                     ;
2007
;  SRAM_DQ[9]    ; CLOCK_50                        ; 11.515 ; 11.515 ; Rise       ; CLOCK_50                                     ;
2008
;  SRAM_DQ[10]   ; CLOCK_50                        ; 11.484 ; 11.484 ; Rise       ; CLOCK_50                                     ;
2009
;  SRAM_DQ[11]   ; CLOCK_50                        ; 11.199 ; 11.199 ; Rise       ; CLOCK_50                                     ;
2010
;  SRAM_DQ[12]   ; CLOCK_50                        ; 11.219 ; 11.219 ; Rise       ; CLOCK_50                                     ;
2011
;  SRAM_DQ[13]   ; CLOCK_50                        ; 11.494 ; 11.494 ; Rise       ; CLOCK_50                                     ;
2012
;  SRAM_DQ[14]   ; CLOCK_50                        ; 11.408 ; 11.408 ; Rise       ; CLOCK_50                                     ;
2013
;  SRAM_DQ[15]   ; CLOCK_50                        ; 11.882 ; 11.882 ; Rise       ; CLOCK_50                                     ;
2014
; SRAM_OE_N      ; CLOCK_50                        ; 12.012 ; 12.012 ; Rise       ; CLOCK_50                                     ;
2015
; SRAM_WE_N      ; CLOCK_50                        ; 10.085 ; 10.085 ; Rise       ; CLOCK_50                                     ;
2016
; I2C_SCLK       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 12.189 ; 12.189 ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
2017
; I2C_SDAT       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 8.797  ; 8.797  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
2018
; I2C_SCLK       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 6.344  ;        ; Fall       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
2019
; TDO            ; TCK                             ; 7.369  ; 7.369  ; Rise       ; TCK                                          ;
2020
; SRAM_ADDR[*]   ; CLOCK_27[0]                     ; 9.386  ; 9.386  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2021
;  SRAM_ADDR[0]  ; CLOCK_27[0]                     ; 8.683  ; 8.683  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2022
;  SRAM_ADDR[1]  ; CLOCK_27[0]                     ; 8.702  ; 8.702  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2023
;  SRAM_ADDR[2]  ; CLOCK_27[0]                     ; 8.037  ; 8.037  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2024
;  SRAM_ADDR[3]  ; CLOCK_27[0]                     ; 8.243  ; 8.243  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2025
;  SRAM_ADDR[4]  ; CLOCK_27[0]                     ; 9.386  ; 9.386  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2026
;  SRAM_ADDR[5]  ; CLOCK_27[0]                     ; 6.460  ; 6.460  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2027
;  SRAM_ADDR[6]  ; CLOCK_27[0]                     ; 7.288  ; 7.288  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2028
;  SRAM_ADDR[7]  ; CLOCK_27[0]                     ; 6.541  ; 6.541  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2029
;  SRAM_ADDR[8]  ; CLOCK_27[0]                     ; 7.088  ; 7.088  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2030
;  SRAM_ADDR[9]  ; CLOCK_27[0]                     ; 7.422  ; 7.422  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2031
;  SRAM_ADDR[10] ; CLOCK_27[0]                     ; 7.436  ; 7.436  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2032
;  SRAM_ADDR[11] ; CLOCK_27[0]                     ; 7.729  ; 7.729  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2033
;  SRAM_ADDR[12] ; CLOCK_27[0]                     ; 7.725  ; 7.725  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2034
;  SRAM_ADDR[13] ; CLOCK_27[0]                     ; 7.976  ; 7.976  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2035
;  SRAM_ADDR[14] ; CLOCK_27[0]                     ; 8.634  ; 8.634  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2036
;  SRAM_ADDR[15] ; CLOCK_27[0]                     ; 8.669  ; 8.669  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2037
;  SRAM_ADDR[16] ; CLOCK_27[0]                     ; 8.794  ; 8.794  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2038
;  SRAM_ADDR[17] ; CLOCK_27[0]                     ; 8.541  ; 8.541  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2039
; VGA_B[*]       ; CLOCK_27[0]                     ; 13.283 ; 13.283 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2040
;  VGA_B[0]      ; CLOCK_27[0]                     ; 13.283 ; 13.283 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2041
;  VGA_B[1]      ; CLOCK_27[0]                     ; 11.613 ; 11.613 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2042
;  VGA_B[2]      ; CLOCK_27[0]                     ; 11.408 ; 11.408 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2043
;  VGA_B[3]      ; CLOCK_27[0]                     ; 11.968 ; 11.968 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2044
; VGA_G[*]       ; CLOCK_27[0]                     ; 13.260 ; 13.260 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2045
;  VGA_G[0]      ; CLOCK_27[0]                     ; 12.329 ; 12.329 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2046
;  VGA_G[1]      ; CLOCK_27[0]                     ; 12.956 ; 12.956 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2047
;  VGA_G[2]      ; CLOCK_27[0]                     ; 12.040 ; 12.040 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2048
;  VGA_G[3]      ; CLOCK_27[0]                     ; 13.260 ; 13.260 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2049
; VGA_HS         ; CLOCK_27[0]                     ; 5.757  ; 5.757  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2050
; VGA_R[*]       ; CLOCK_27[0]                     ; 13.529 ; 13.529 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2051
;  VGA_R[0]      ; CLOCK_27[0]                     ; 13.360 ; 13.360 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2052
;  VGA_R[1]      ; CLOCK_27[0]                     ; 13.529 ; 13.529 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2053
;  VGA_R[2]      ; CLOCK_27[0]                     ; 13.236 ; 13.236 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2054
;  VGA_R[3]      ; CLOCK_27[0]                     ; 12.655 ; 12.655 ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2055
; VGA_VS         ; CLOCK_27[0]                     ; 5.878  ; 5.878  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2056
; AUD_XCK        ; CLOCK_27[0]                     ; 2.904  ;        ; Rise       ; p1|altpll_component|pll|clk[1]               ;
2057
; AUD_XCK        ; CLOCK_27[0]                     ;        ; 2.904  ; Fall       ; p1|altpll_component|pll|clk[1]               ;
2058
; DRAM_ADDR[*]   ; CLOCK_50                        ; 5.419  ; 5.419  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2059
;  DRAM_ADDR[0]  ; CLOCK_50                        ; 5.322  ; 5.322  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2060
;  DRAM_ADDR[1]  ; CLOCK_50                        ; 5.359  ; 5.359  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2061
;  DRAM_ADDR[2]  ; CLOCK_50                        ; 5.419  ; 5.419  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2062
;  DRAM_ADDR[3]  ; CLOCK_50                        ; 5.340  ; 5.340  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2063
;  DRAM_ADDR[4]  ; CLOCK_50                        ; 4.925  ; 4.925  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2064
;  DRAM_ADDR[5]  ; CLOCK_50                        ; 4.948  ; 4.948  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2065
;  DRAM_ADDR[6]  ; CLOCK_50                        ; 4.919  ; 4.919  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2066
;  DRAM_ADDR[7]  ; CLOCK_50                        ; 4.910  ; 4.910  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2067
;  DRAM_ADDR[8]  ; CLOCK_50                        ; 4.594  ; 4.594  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2068
;  DRAM_ADDR[9]  ; CLOCK_50                        ; 4.600  ; 4.600  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2069
;  DRAM_ADDR[10] ; CLOCK_50                        ; 5.323  ; 5.323  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2070
;  DRAM_ADDR[11] ; CLOCK_50                        ; 4.929  ; 4.929  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2071
; DRAM_BA_0      ; CLOCK_50                        ; 5.621  ; 5.621  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2072
; DRAM_BA_1      ; CLOCK_50                        ; 5.746  ; 5.746  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2073
; DRAM_CAS_N     ; CLOCK_50                        ; 5.307  ; 5.307  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2074
; DRAM_CKE       ; CLOCK_50                        ; 5.319  ; 5.319  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2075
; DRAM_CS_N      ; CLOCK_50                        ; 5.587  ; 5.587  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2076
; DRAM_DQ[*]     ; CLOCK_50                        ; 6.298  ; 6.298  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2077
;  DRAM_DQ[0]    ; CLOCK_50                        ; 5.829  ; 5.829  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2078
;  DRAM_DQ[1]    ; CLOCK_50                        ; 6.167  ; 6.167  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2079
;  DRAM_DQ[2]    ; CLOCK_50                        ; 6.291  ; 6.291  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2080
;  DRAM_DQ[3]    ; CLOCK_50                        ; 5.609  ; 5.609  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2081
;  DRAM_DQ[4]    ; CLOCK_50                        ; 5.667  ; 5.667  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2082
;  DRAM_DQ[5]    ; CLOCK_50                        ; 6.298  ; 6.298  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2083
;  DRAM_DQ[6]    ; CLOCK_50                        ; 6.238  ; 6.238  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2084
;  DRAM_DQ[7]    ; CLOCK_50                        ; 5.524  ; 5.524  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2085
;  DRAM_DQ[8]    ; CLOCK_50                        ; 5.883  ; 5.883  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2086
;  DRAM_DQ[9]    ; CLOCK_50                        ; 5.883  ; 5.883  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2087
;  DRAM_DQ[10]   ; CLOCK_50                        ; 5.874  ; 5.874  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2088
;  DRAM_DQ[11]   ; CLOCK_50                        ; 5.874  ; 5.874  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2089
;  DRAM_DQ[12]   ; CLOCK_50                        ; 5.902  ; 5.902  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2090
;  DRAM_DQ[13]   ; CLOCK_50                        ; 5.538  ; 5.538  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2091
;  DRAM_DQ[14]   ; CLOCK_50                        ; 5.846  ; 5.846  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2092
;  DRAM_DQ[15]   ; CLOCK_50                        ; 5.820  ; 5.820  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2093
; DRAM_LDQM      ; CLOCK_50                        ; 6.348  ; 6.348  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2094
; DRAM_RAS_N     ; CLOCK_50                        ; 5.046  ; 5.046  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2095
; DRAM_UDQM      ; CLOCK_50                        ; 6.723  ; 6.723  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2096
; DRAM_WE_N      ; CLOCK_50                        ; 4.620  ; 4.620  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2097
; DRAM_CLK       ; CLOCK_50                        ; 1.072  ;        ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
2098
; DRAM_CLK       ; CLOCK_50                        ;        ; 1.072  ; Fall       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
2099
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
2100
 
2101
 
2102
+--------------------------------------------------------------------------------------------------------------------------------+
2103
; Minimum Clock to Output Times                                                                                                  ;
2104
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
2105
; Data Port      ; Clock Port                      ; Rise   ; Fall   ; Clock Edge ; Clock Reference                              ;
2106
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
2107
; AUD_ADCLRCK    ; AUDIO_DAC:u11|LRCK_1X           ; 3.550  ;        ; Rise       ; AUDIO_DAC:u11|LRCK_1X                        ;
2108
; AUD_DACLRCK    ; AUDIO_DAC:u11|LRCK_1X           ; 3.560  ;        ; Rise       ; AUDIO_DAC:u11|LRCK_1X                        ;
2109
; AUD_ADCLRCK    ; AUDIO_DAC:u11|LRCK_1X           ;        ; 3.550  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
2110
; AUD_DACDAT     ; AUDIO_DAC:u11|LRCK_1X           ; 5.641  ; 5.641  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
2111
; AUD_DACLRCK    ; AUDIO_DAC:u11|LRCK_1X           ;        ; 3.560  ; Fall       ; AUDIO_DAC:u11|LRCK_1X                        ;
2112
; AUD_DACDAT     ; AUDIO_DAC:u11|LRCK_2X           ; 6.104  ; 6.104  ; Fall       ; AUDIO_DAC:u11|LRCK_2X                        ;
2113
; AUD_BCLK       ; AUDIO_DAC:u11|oAUD_BCK          ; 3.617  ;        ; Rise       ; AUDIO_DAC:u11|oAUD_BCK                       ;
2114
; AUD_BCLK       ; AUDIO_DAC:u11|oAUD_BCK          ;        ; 3.617  ; Fall       ; AUDIO_DAC:u11|oAUD_BCK                       ;
2115
; AUD_DACDAT     ; AUDIO_DAC:u11|oAUD_BCK          ; 6.418  ; 6.418  ; Fall       ; AUDIO_DAC:u11|oAUD_BCK                       ;
2116
; FL_ADDR[*]     ; CLOCK_50                        ; 4.284  ; 4.284  ; Rise       ; CLOCK_50                                     ;
2117
;  FL_ADDR[0]    ; CLOCK_50                        ; 4.923  ; 4.923  ; Rise       ; CLOCK_50                                     ;
2118
;  FL_ADDR[1]    ; CLOCK_50                        ; 4.757  ; 4.757  ; Rise       ; CLOCK_50                                     ;
2119
;  FL_ADDR[2]    ; CLOCK_50                        ; 4.284  ; 4.284  ; Rise       ; CLOCK_50                                     ;
2120
;  FL_ADDR[3]    ; CLOCK_50                        ; 4.639  ; 4.639  ; Rise       ; CLOCK_50                                     ;
2121
;  FL_ADDR[4]    ; CLOCK_50                        ; 4.431  ; 4.431  ; Rise       ; CLOCK_50                                     ;
2122
;  FL_ADDR[5]    ; CLOCK_50                        ; 4.925  ; 4.925  ; Rise       ; CLOCK_50                                     ;
2123
;  FL_ADDR[6]    ; CLOCK_50                        ; 4.680  ; 4.680  ; Rise       ; CLOCK_50                                     ;
2124
;  FL_ADDR[7]    ; CLOCK_50                        ; 4.520  ; 4.520  ; Rise       ; CLOCK_50                                     ;
2125
;  FL_ADDR[8]    ; CLOCK_50                        ; 4.717  ; 4.717  ; Rise       ; CLOCK_50                                     ;
2126
;  FL_ADDR[9]    ; CLOCK_50                        ; 4.484  ; 4.484  ; Rise       ; CLOCK_50                                     ;
2127
;  FL_ADDR[10]   ; CLOCK_50                        ; 4.897  ; 4.897  ; Rise       ; CLOCK_50                                     ;
2128
;  FL_ADDR[11]   ; CLOCK_50                        ; 4.574  ; 4.574  ; Rise       ; CLOCK_50                                     ;
2129
;  FL_ADDR[12]   ; CLOCK_50                        ; 4.697  ; 4.697  ; Rise       ; CLOCK_50                                     ;
2130
;  FL_ADDR[13]   ; CLOCK_50                        ; 4.707  ; 4.707  ; Rise       ; CLOCK_50                                     ;
2131
;  FL_ADDR[14]   ; CLOCK_50                        ; 4.640  ; 4.640  ; Rise       ; CLOCK_50                                     ;
2132
;  FL_ADDR[15]   ; CLOCK_50                        ; 4.709  ; 4.709  ; Rise       ; CLOCK_50                                     ;
2133
;  FL_ADDR[16]   ; CLOCK_50                        ; 4.788  ; 4.788  ; Rise       ; CLOCK_50                                     ;
2134
;  FL_ADDR[17]   ; CLOCK_50                        ; 4.767  ; 4.767  ; Rise       ; CLOCK_50                                     ;
2135
;  FL_ADDR[18]   ; CLOCK_50                        ; 4.619  ; 4.619  ; Rise       ; CLOCK_50                                     ;
2136
;  FL_ADDR[19]   ; CLOCK_50                        ; 4.686  ; 4.686  ; Rise       ; CLOCK_50                                     ;
2137
;  FL_ADDR[20]   ; CLOCK_50                        ; 4.681  ; 4.681  ; Rise       ; CLOCK_50                                     ;
2138
;  FL_ADDR[21]   ; CLOCK_50                        ; 4.621  ; 4.621  ; Rise       ; CLOCK_50                                     ;
2139
; FL_CE_N        ; CLOCK_50                        ; 3.953  ; 3.953  ; Rise       ; CLOCK_50                                     ;
2140
; FL_DQ[*]       ; CLOCK_50                        ; 4.269  ; 4.269  ; Rise       ; CLOCK_50                                     ;
2141
;  FL_DQ[0]      ; CLOCK_50                        ; 4.460  ; 4.460  ; Rise       ; CLOCK_50                                     ;
2142
;  FL_DQ[1]      ; CLOCK_50                        ; 4.269  ; 4.269  ; Rise       ; CLOCK_50                                     ;
2143
;  FL_DQ[2]      ; CLOCK_50                        ; 4.269  ; 4.269  ; Rise       ; CLOCK_50                                     ;
2144
;  FL_DQ[3]      ; CLOCK_50                        ; 4.372  ; 4.372  ; Rise       ; CLOCK_50                                     ;
2145
;  FL_DQ[4]      ; CLOCK_50                        ; 4.498  ; 4.498  ; Rise       ; CLOCK_50                                     ;
2146
;  FL_DQ[5]      ; CLOCK_50                        ; 4.502  ; 4.502  ; Rise       ; CLOCK_50                                     ;
2147
;  FL_DQ[6]      ; CLOCK_50                        ; 4.694  ; 4.694  ; Rise       ; CLOCK_50                                     ;
2148
;  FL_DQ[7]      ; CLOCK_50                        ; 4.684  ; 4.684  ; Rise       ; CLOCK_50                                     ;
2149
; FL_OE_N        ; CLOCK_50                        ; 4.480  ; 4.480  ; Rise       ; CLOCK_50                                     ;
2150
; FL_WE_N        ; CLOCK_50                        ; 4.475  ; 4.475  ; Rise       ; CLOCK_50                                     ;
2151
; HEX0[*]        ; CLOCK_50                        ; 4.228  ; 4.228  ; Rise       ; CLOCK_50                                     ;
2152
;  HEX0[0]       ; CLOCK_50                        ; 4.409  ; 4.409  ; Rise       ; CLOCK_50                                     ;
2153
;  HEX0[1]       ; CLOCK_50                        ; 4.267  ; 4.267  ; Rise       ; CLOCK_50                                     ;
2154
;  HEX0[2]       ; CLOCK_50                        ; 4.581  ; 4.581  ; Rise       ; CLOCK_50                                     ;
2155
;  HEX0[3]       ; CLOCK_50                        ; 4.600  ; 4.600  ; Rise       ; CLOCK_50                                     ;
2156
;  HEX0[4]       ; CLOCK_50                        ; 4.497  ; 4.497  ; Rise       ; CLOCK_50                                     ;
2157
;  HEX0[5]       ; CLOCK_50                        ; 4.228  ; 4.228  ; Rise       ; CLOCK_50                                     ;
2158
;  HEX0[6]       ; CLOCK_50                        ; 4.515  ; 4.515  ; Rise       ; CLOCK_50                                     ;
2159
; HEX1[*]        ; CLOCK_50                        ; 4.280  ; 4.280  ; Rise       ; CLOCK_50                                     ;
2160
;  HEX1[0]       ; CLOCK_50                        ; 4.595  ; 4.595  ; Rise       ; CLOCK_50                                     ;
2161
;  HEX1[1]       ; CLOCK_50                        ; 4.536  ; 4.536  ; Rise       ; CLOCK_50                                     ;
2162
;  HEX1[2]       ; CLOCK_50                        ; 4.396  ; 4.396  ; Rise       ; CLOCK_50                                     ;
2163
;  HEX1[3]       ; CLOCK_50                        ; 4.280  ; 4.280  ; Rise       ; CLOCK_50                                     ;
2164
;  HEX1[4]       ; CLOCK_50                        ; 4.615  ; 4.615  ; Rise       ; CLOCK_50                                     ;
2165
;  HEX1[5]       ; CLOCK_50                        ; 4.717  ; 4.717  ; Rise       ; CLOCK_50                                     ;
2166
;  HEX1[6]       ; CLOCK_50                        ; 4.679  ; 4.679  ; Rise       ; CLOCK_50                                     ;
2167
; HEX2[*]        ; CLOCK_50                        ; 4.648  ; 4.648  ; Rise       ; CLOCK_50                                     ;
2168
;  HEX2[0]       ; CLOCK_50                        ; 4.801  ; 4.801  ; Rise       ; CLOCK_50                                     ;
2169
;  HEX2[1]       ; CLOCK_50                        ; 4.728  ; 4.728  ; Rise       ; CLOCK_50                                     ;
2170
;  HEX2[2]       ; CLOCK_50                        ; 4.768  ; 4.768  ; Rise       ; CLOCK_50                                     ;
2171
;  HEX2[3]       ; CLOCK_50                        ; 4.667  ; 4.667  ; Rise       ; CLOCK_50                                     ;
2172
;  HEX2[4]       ; CLOCK_50                        ; 4.648  ; 4.648  ; Rise       ; CLOCK_50                                     ;
2173
;  HEX2[5]       ; CLOCK_50                        ; 4.685  ; 4.685  ; Rise       ; CLOCK_50                                     ;
2174
;  HEX2[6]       ; CLOCK_50                        ; 4.649  ; 4.649  ; Rise       ; CLOCK_50                                     ;
2175
; HEX3[*]        ; CLOCK_50                        ; 4.348  ; 4.348  ; Rise       ; CLOCK_50                                     ;
2176
;  HEX3[0]       ; CLOCK_50                        ; 4.778  ; 4.778  ; Rise       ; CLOCK_50                                     ;
2177
;  HEX3[1]       ; CLOCK_50                        ; 4.837  ; 4.837  ; Rise       ; CLOCK_50                                     ;
2178
;  HEX3[2]       ; CLOCK_50                        ; 4.824  ; 4.824  ; Rise       ; CLOCK_50                                     ;
2179
;  HEX3[3]       ; CLOCK_50                        ; 4.469  ; 4.469  ; Rise       ; CLOCK_50                                     ;
2180
;  HEX3[4]       ; CLOCK_50                        ; 4.370  ; 4.370  ; Rise       ; CLOCK_50                                     ;
2181
;  HEX3[5]       ; CLOCK_50                        ; 4.348  ; 4.348  ; Rise       ; CLOCK_50                                     ;
2182
;  HEX3[6]       ; CLOCK_50                        ; 4.757  ; 4.757  ; Rise       ; CLOCK_50                                     ;
2183
; LEDG[*]        ; CLOCK_50                        ; 4.156  ; 4.156  ; Rise       ; CLOCK_50                                     ;
2184
;  LEDG[0]       ; CLOCK_50                        ; 4.156  ; 4.156  ; Rise       ; CLOCK_50                                     ;
2185
;  LEDG[1]       ; CLOCK_50                        ; 4.276  ; 4.276  ; Rise       ; CLOCK_50                                     ;
2186
;  LEDG[2]       ; CLOCK_50                        ; 4.300  ; 4.300  ; Rise       ; CLOCK_50                                     ;
2187
;  LEDG[3]       ; CLOCK_50                        ; 4.286  ; 4.286  ; Rise       ; CLOCK_50                                     ;
2188
;  LEDG[4]       ; CLOCK_50                        ; 4.353  ; 4.353  ; Rise       ; CLOCK_50                                     ;
2189
;  LEDG[5]       ; CLOCK_50                        ; 4.214  ; 4.214  ; Rise       ; CLOCK_50                                     ;
2190
;  LEDG[6]       ; CLOCK_50                        ; 4.341  ; 4.341  ; Rise       ; CLOCK_50                                     ;
2191
;  LEDG[7]       ; CLOCK_50                        ; 4.322  ; 4.322  ; Rise       ; CLOCK_50                                     ;
2192
; LEDR[*]        ; CLOCK_50                        ; 4.135  ; 4.135  ; Rise       ; CLOCK_50                                     ;
2193
;  LEDR[0]       ; CLOCK_50                        ; 4.135  ; 4.135  ; Rise       ; CLOCK_50                                     ;
2194
;  LEDR[1]       ; CLOCK_50                        ; 4.151  ; 4.151  ; Rise       ; CLOCK_50                                     ;
2195
;  LEDR[2]       ; CLOCK_50                        ; 4.304  ; 4.304  ; Rise       ; CLOCK_50                                     ;
2196
;  LEDR[3]       ; CLOCK_50                        ; 4.458  ; 4.458  ; Rise       ; CLOCK_50                                     ;
2197
;  LEDR[4]       ; CLOCK_50                        ; 4.574  ; 4.574  ; Rise       ; CLOCK_50                                     ;
2198
;  LEDR[5]       ; CLOCK_50                        ; 4.438  ; 4.438  ; Rise       ; CLOCK_50                                     ;
2199
;  LEDR[6]       ; CLOCK_50                        ; 4.409  ; 4.409  ; Rise       ; CLOCK_50                                     ;
2200
;  LEDR[7]       ; CLOCK_50                        ; 4.490  ; 4.490  ; Rise       ; CLOCK_50                                     ;
2201
;  LEDR[8]       ; CLOCK_50                        ; 4.463  ; 4.463  ; Rise       ; CLOCK_50                                     ;
2202
;  LEDR[9]       ; CLOCK_50                        ; 4.471  ; 4.471  ; Rise       ; CLOCK_50                                     ;
2203
; SRAM_ADDR[*]   ; CLOCK_50                        ; 4.369  ; 4.369  ; Rise       ; CLOCK_50                                     ;
2204
;  SRAM_ADDR[0]  ; CLOCK_50                        ; 5.060  ; 5.060  ; Rise       ; CLOCK_50                                     ;
2205
;  SRAM_ADDR[1]  ; CLOCK_50                        ; 5.202  ; 5.202  ; Rise       ; CLOCK_50                                     ;
2206
;  SRAM_ADDR[2]  ; CLOCK_50                        ; 5.011  ; 5.011  ; Rise       ; CLOCK_50                                     ;
2207
;  SRAM_ADDR[3]  ; CLOCK_50                        ; 4.905  ; 4.905  ; Rise       ; CLOCK_50                                     ;
2208
;  SRAM_ADDR[4]  ; CLOCK_50                        ; 5.013  ; 5.013  ; Rise       ; CLOCK_50                                     ;
2209
;  SRAM_ADDR[5]  ; CLOCK_50                        ; 4.369  ; 4.369  ; Rise       ; CLOCK_50                                     ;
2210
;  SRAM_ADDR[6]  ; CLOCK_50                        ; 4.639  ; 4.639  ; Rise       ; CLOCK_50                                     ;
2211
;  SRAM_ADDR[7]  ; CLOCK_50                        ; 4.418  ; 4.418  ; Rise       ; CLOCK_50                                     ;
2212
;  SRAM_ADDR[8]  ; CLOCK_50                        ; 4.436  ; 4.436  ; Rise       ; CLOCK_50                                     ;
2213
;  SRAM_ADDR[9]  ; CLOCK_50                        ; 4.584  ; 4.584  ; Rise       ; CLOCK_50                                     ;
2214
;  SRAM_ADDR[10] ; CLOCK_50                        ; 4.596  ; 4.596  ; Rise       ; CLOCK_50                                     ;
2215
;  SRAM_ADDR[11] ; CLOCK_50                        ; 4.591  ; 4.591  ; Rise       ; CLOCK_50                                     ;
2216
;  SRAM_ADDR[12] ; CLOCK_50                        ; 4.696  ; 4.696  ; Rise       ; CLOCK_50                                     ;
2217
;  SRAM_ADDR[13] ; CLOCK_50                        ; 4.821  ; 4.821  ; Rise       ; CLOCK_50                                     ;
2218
;  SRAM_ADDR[14] ; CLOCK_50                        ; 4.827  ; 4.827  ; Rise       ; CLOCK_50                                     ;
2219
;  SRAM_ADDR[15] ; CLOCK_50                        ; 4.825  ; 4.825  ; Rise       ; CLOCK_50                                     ;
2220
;  SRAM_ADDR[16] ; CLOCK_50                        ; 5.118  ; 5.118  ; Rise       ; CLOCK_50                                     ;
2221
;  SRAM_ADDR[17] ; CLOCK_50                        ; 5.033  ; 5.033  ; Rise       ; CLOCK_50                                     ;
2222
; SRAM_DQ[*]     ; CLOCK_50                        ; 4.382  ; 4.382  ; Rise       ; CLOCK_50                                     ;
2223
;  SRAM_DQ[0]    ; CLOCK_50                        ; 4.560  ; 4.560  ; Rise       ; CLOCK_50                                     ;
2224
;  SRAM_DQ[1]    ; CLOCK_50                        ; 4.548  ; 4.548  ; Rise       ; CLOCK_50                                     ;
2225
;  SRAM_DQ[2]    ; CLOCK_50                        ; 4.818  ; 4.818  ; Rise       ; CLOCK_50                                     ;
2226
;  SRAM_DQ[3]    ; CLOCK_50                        ; 4.608  ; 4.608  ; Rise       ; CLOCK_50                                     ;
2227
;  SRAM_DQ[4]    ; CLOCK_50                        ; 4.491  ; 4.491  ; Rise       ; CLOCK_50                                     ;
2228
;  SRAM_DQ[5]    ; CLOCK_50                        ; 4.489  ; 4.489  ; Rise       ; CLOCK_50                                     ;
2229
;  SRAM_DQ[6]    ; CLOCK_50                        ; 4.382  ; 4.382  ; Rise       ; CLOCK_50                                     ;
2230
;  SRAM_DQ[7]    ; CLOCK_50                        ; 4.487  ; 4.487  ; Rise       ; CLOCK_50                                     ;
2231
;  SRAM_DQ[8]    ; CLOCK_50                        ; 4.564  ; 4.564  ; Rise       ; CLOCK_50                                     ;
2232
;  SRAM_DQ[9]    ; CLOCK_50                        ; 4.469  ; 4.469  ; Rise       ; CLOCK_50                                     ;
2233
;  SRAM_DQ[10]   ; CLOCK_50                        ; 4.578  ; 4.578  ; Rise       ; CLOCK_50                                     ;
2234
;  SRAM_DQ[11]   ; CLOCK_50                        ; 4.559  ; 4.559  ; Rise       ; CLOCK_50                                     ;
2235
;  SRAM_DQ[12]   ; CLOCK_50                        ; 4.550  ; 4.550  ; Rise       ; CLOCK_50                                     ;
2236
;  SRAM_DQ[13]   ; CLOCK_50                        ; 4.569  ; 4.569  ; Rise       ; CLOCK_50                                     ;
2237
;  SRAM_DQ[14]   ; CLOCK_50                        ; 4.764  ; 4.764  ; Rise       ; CLOCK_50                                     ;
2238
;  SRAM_DQ[15]   ; CLOCK_50                        ; 4.634  ; 4.634  ; Rise       ; CLOCK_50                                     ;
2239
; SRAM_OE_N      ; CLOCK_50                        ; 5.500  ; 5.500  ; Rise       ; CLOCK_50                                     ;
2240
; SRAM_WE_N      ; CLOCK_50                        ; 4.695  ; 4.695  ; Rise       ; CLOCK_50                                     ;
2241
; I2C_SCLK       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 4.842  ; 2.845  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
2242
; I2C_SDAT       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 4.441  ; 4.441  ; Rise       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
2243
; I2C_SCLK       ; I2C_AV_Config:u10|mI2C_CTRL_CLK ; 2.845  ;        ; Fall       ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ;
2244
; TDO            ; TCK                             ; 3.958  ; 3.958  ; Rise       ; TCK                                          ;
2245
; SRAM_ADDR[*]   ; CLOCK_27[0]                     ; 2.601  ; 2.601  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2246
;  SRAM_ADDR[0]  ; CLOCK_27[0]                     ; 3.432  ; 3.432  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2247
;  SRAM_ADDR[1]  ; CLOCK_27[0]                     ; 3.575  ; 3.575  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2248
;  SRAM_ADDR[2]  ; CLOCK_27[0]                     ; 3.241  ; 3.241  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2249
;  SRAM_ADDR[3]  ; CLOCK_27[0]                     ; 3.271  ; 3.271  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2250
;  SRAM_ADDR[4]  ; CLOCK_27[0]                     ; 3.731  ; 3.731  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2251
;  SRAM_ADDR[5]  ; CLOCK_27[0]                     ; 2.601  ; 2.601  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2252
;  SRAM_ADDR[6]  ; CLOCK_27[0]                     ; 3.017  ; 3.017  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2253
;  SRAM_ADDR[7]  ; CLOCK_27[0]                     ; 2.657  ; 2.657  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2254
;  SRAM_ADDR[8]  ; CLOCK_27[0]                     ; 2.807  ; 2.807  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2255
;  SRAM_ADDR[9]  ; CLOCK_27[0]                     ; 2.971  ; 2.971  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2256
;  SRAM_ADDR[10] ; CLOCK_27[0]                     ; 2.971  ; 2.971  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2257
;  SRAM_ADDR[11] ; CLOCK_27[0]                     ; 3.104  ; 3.104  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2258
;  SRAM_ADDR[12] ; CLOCK_27[0]                     ; 3.093  ; 3.093  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2259
;  SRAM_ADDR[13] ; CLOCK_27[0]                     ; 3.203  ; 3.203  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2260
;  SRAM_ADDR[14] ; CLOCK_27[0]                     ; 3.481  ; 3.481  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2261
;  SRAM_ADDR[15] ; CLOCK_27[0]                     ; 3.486  ; 3.486  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2262
;  SRAM_ADDR[16] ; CLOCK_27[0]                     ; 3.553  ; 3.553  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2263
;  SRAM_ADDR[17] ; CLOCK_27[0]                     ; 3.402  ; 3.402  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2264
; VGA_B[*]       ; CLOCK_27[0]                     ; 2.426  ; 2.426  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2265
;  VGA_B[0]      ; CLOCK_27[0]                     ; 3.138  ; 3.138  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2266
;  VGA_B[1]      ; CLOCK_27[0]                     ; 2.842  ; 2.842  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2267
;  VGA_B[2]      ; CLOCK_27[0]                     ; 3.006  ; 3.006  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2268
;  VGA_B[3]      ; CLOCK_27[0]                     ; 2.426  ; 2.426  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2269
; VGA_G[*]       ; CLOCK_27[0]                     ; 2.919  ; 2.919  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2270
;  VGA_G[0]      ; CLOCK_27[0]                     ; 3.005  ; 3.005  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2271
;  VGA_G[1]      ; CLOCK_27[0]                     ; 3.082  ; 3.082  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2272
;  VGA_G[2]      ; CLOCK_27[0]                     ; 2.919  ; 2.919  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2273
;  VGA_G[3]      ; CLOCK_27[0]                     ; 3.329  ; 3.329  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2274
; VGA_HS         ; CLOCK_27[0]                     ; 2.350  ; 2.350  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2275
; VGA_R[*]       ; CLOCK_27[0]                     ; 3.000  ; 3.000  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2276
;  VGA_R[0]      ; CLOCK_27[0]                     ; 3.183  ; 3.183  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2277
;  VGA_R[1]      ; CLOCK_27[0]                     ; 3.286  ; 3.286  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2278
;  VGA_R[2]      ; CLOCK_27[0]                     ; 3.196  ; 3.196  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2279
;  VGA_R[3]      ; CLOCK_27[0]                     ; 3.000  ; 3.000  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2280
; VGA_VS         ; CLOCK_27[0]                     ; 2.397  ; 2.397  ; Rise       ; p1|altpll_component|pll|clk[0]               ;
2281
; AUD_XCK        ; CLOCK_27[0]                     ; 1.117  ;        ; Rise       ; p1|altpll_component|pll|clk[1]               ;
2282
; AUD_XCK        ; CLOCK_27[0]                     ;        ; 1.117  ; Fall       ; p1|altpll_component|pll|clk[1]               ;
2283
; DRAM_ADDR[*]   ; CLOCK_50                        ; 1.825  ; 1.825  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2284
;  DRAM_ADDR[0]  ; CLOCK_50                        ; 2.133  ; 2.133  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2285
;  DRAM_ADDR[1]  ; CLOCK_50                        ; 2.150  ; 2.150  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2286
;  DRAM_ADDR[2]  ; CLOCK_50                        ; 2.195  ; 2.195  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2287
;  DRAM_ADDR[3]  ; CLOCK_50                        ; 2.149  ; 2.149  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2288
;  DRAM_ADDR[4]  ; CLOCK_50                        ; 1.954  ; 1.954  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2289
;  DRAM_ADDR[5]  ; CLOCK_50                        ; 1.967  ; 1.967  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2290
;  DRAM_ADDR[6]  ; CLOCK_50                        ; 1.944  ; 1.944  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2291
;  DRAM_ADDR[7]  ; CLOCK_50                        ; 1.938  ; 1.938  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2292
;  DRAM_ADDR[8]  ; CLOCK_50                        ; 1.827  ; 1.827  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2293
;  DRAM_ADDR[9]  ; CLOCK_50                        ; 1.825  ; 1.825  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2294
;  DRAM_ADDR[10] ; CLOCK_50                        ; 2.132  ; 2.132  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2295
;  DRAM_ADDR[11] ; CLOCK_50                        ; 1.942  ; 1.942  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2296
; DRAM_BA_0      ; CLOCK_50                        ; 2.246  ; 2.246  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2297
; DRAM_BA_1      ; CLOCK_50                        ; 2.341  ; 2.341  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2298
; DRAM_CAS_N     ; CLOCK_50                        ; 2.115  ; 2.115  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2299
; DRAM_CKE       ; CLOCK_50                        ; 2.113  ; 2.113  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2300
; DRAM_CS_N      ; CLOCK_50                        ; 2.215  ; 2.215  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2301
; DRAM_DQ[*]     ; CLOCK_50                        ; 1.916  ; 1.916  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2302
;  DRAM_DQ[0]    ; CLOCK_50                        ; 2.200  ; 2.200  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2303
;  DRAM_DQ[1]    ; CLOCK_50                        ; 2.200  ; 2.200  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2304
;  DRAM_DQ[2]    ; CLOCK_50                        ; 2.068  ; 2.068  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2305
;  DRAM_DQ[3]    ; CLOCK_50                        ; 2.068  ; 2.068  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2306
;  DRAM_DQ[4]    ; CLOCK_50                        ; 2.207  ; 2.207  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2307
;  DRAM_DQ[5]    ; CLOCK_50                        ; 2.177  ; 2.177  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2308
;  DRAM_DQ[6]    ; CLOCK_50                        ; 2.217  ; 2.217  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2309
;  DRAM_DQ[7]    ; CLOCK_50                        ; 2.150  ; 2.150  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2310
;  DRAM_DQ[8]    ; CLOCK_50                        ; 1.916  ; 1.916  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2311
;  DRAM_DQ[9]    ; CLOCK_50                        ; 2.162  ; 2.162  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2312
;  DRAM_DQ[10]   ; CLOCK_50                        ; 2.071  ; 2.071  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2313
;  DRAM_DQ[11]   ; CLOCK_50                        ; 2.289  ; 2.289  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2314
;  DRAM_DQ[12]   ; CLOCK_50                        ; 2.203  ; 2.203  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2315
;  DRAM_DQ[13]   ; CLOCK_50                        ; 2.203  ; 2.203  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2316
;  DRAM_DQ[14]   ; CLOCK_50                        ; 2.203  ; 2.203  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2317
;  DRAM_DQ[15]   ; CLOCK_50                        ; 2.203  ; 2.203  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2318
; DRAM_LDQM      ; CLOCK_50                        ; 2.586  ; 2.586  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2319
; DRAM_RAS_N     ; CLOCK_50                        ; 2.028  ; 2.028  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2320
; DRAM_UDQM      ; CLOCK_50                        ; 2.647  ; 2.647  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2321
; DRAM_WE_N      ; CLOCK_50                        ; 1.844  ; 1.844  ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ;
2322
; DRAM_CLK       ; CLOCK_50                        ; -0.119 ;        ; Rise       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
2323
; DRAM_CLK       ; CLOCK_50                        ;        ; -0.119 ; Fall       ; u3|u1|sdram_pll1|altpll_component|pll|clk[2] ;
2324
+----------------+---------------------------------+--------+--------+------------+----------------------------------------------+
2325
 
2326
 
2327
+--------------------------------------------------------------+
2328
; Progagation Delay                                            ;
2329
+------------+-------------+--------+--------+--------+--------+
2330
; Input Port ; Output Port ; RR     ; RF     ; FR     ; FF     ;
2331
+------------+-------------+--------+--------+--------+--------+
2332
; SW[0]      ; AUD_DACDAT  ; 11.020 ; 11.758 ; 11.758 ; 11.020 ;
2333
; SW[1]      ; AUD_DACDAT  ;        ; 11.398 ; 11.398 ;        ;
2334
+------------+-------------+--------+--------+--------+--------+
2335
 
2336
 
2337
+----------------------------------------------------------+
2338
; Minimum Progagation Delay                                ;
2339
+------------+-------------+-------+-------+-------+-------+
2340
; Input Port ; Output Port ; RR    ; RF    ; FR    ; FF    ;
2341
+------------+-------------+-------+-------+-------+-------+
2342
; SW[0]      ; AUD_DACDAT  ; 4.931 ; 4.802 ; 4.802 ; 4.931 ;
2343
; SW[1]      ; AUD_DACDAT  ;       ; 4.633 ; 4.633 ;       ;
2344
+------------+-------------+-------+-------+-------+-------+
2345
 
2346
 
2347
+-----------------------------------------------------------------------------------------------------------------------------------------+
2348
; Setup Transfers                                                                                                                         ;
2349
+----------------------------------------------+----------------------------------------------+----------+----------+----------+----------+
2350
; From Clock                                   ; To Clock                                     ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
2351
+----------------------------------------------+----------------------------------------------+----------+----------+----------+----------+
2352
; AUDIO_DAC:u11|LRCK_1X                        ; AUDIO_DAC:u11|LRCK_1X                        ; 0        ; 0        ; 0        ; 54       ;
2353
; AUDIO_DAC:u11|LRCK_4X                        ; AUDIO_DAC:u11|LRCK_2X                        ; 0        ; 0        ; 16       ; 0        ;
2354
; AUDIO_DAC:u11|LRCK_4X                        ; AUDIO_DAC:u11|LRCK_4X                        ; 0        ; 16       ; 0        ; 737      ;
2355
; CLOCK_50                                     ; AUDIO_DAC:u11|LRCK_4X                        ; 16       ; 0        ; 0        ; 0        ;
2356
; AUDIO_DAC:u11|oAUD_BCK                       ; AUDIO_DAC:u11|oAUD_BCK                       ; 0        ; 0        ; 0        ; 13       ;
2357
; AUDIO_DAC:u11|LRCK_4X                        ; CLOCK_50                                     ; 0        ; 22       ; 0        ; 0        ;
2358
; CLOCK_50                                     ; CLOCK_50                                     ; 15981    ; 0        ; 0        ; 0        ;
2359
; I2C_AV_Config:u10|mI2C_CTRL_CLK              ; CLOCK_50                                     ; 1        ; 1        ; 0        ; 0        ;
2360
; TCK                                          ; CLOCK_50                                     ; 3        ; 1        ; 0        ; 0        ;
2361
; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; CLOCK_50                                     ; 18       ; 0        ; 0        ; 0        ;
2362
; USB_JTAG:u1|mTCK                             ; CLOCK_50                                     ; 18       ; 0        ; 0        ; 0        ;
2363
; I2C_AV_Config:u10|mI2C_CTRL_CLK              ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ; 383      ; 0        ; 0        ; 0        ;
2364
; CLOCK_50                                     ; p1|altpll_component|pll|clk[0]               ; 887      ; 0        ; 0        ; 0        ;
2365
; p1|altpll_component|pll|clk[0]               ; p1|altpll_component|pll|clk[0]               ; 3996632  ; 0        ; 0        ; 0        ;
2366
; AUDIO_DAC:u11|LRCK_1X                        ; p1|altpll_component|pll|clk[1]               ; 1        ; 1        ; 0        ; 0        ;
2367
; AUDIO_DAC:u11|LRCK_2X                        ; p1|altpll_component|pll|clk[1]               ; 1        ; 1        ; 0        ; 0        ;
2368
; AUDIO_DAC:u11|LRCK_4X                        ; p1|altpll_component|pll|clk[1]               ; 1        ; 1        ; 0        ; 0        ;
2369
; AUDIO_DAC:u11|oAUD_BCK                       ; p1|altpll_component|pll|clk[1]               ; 1        ; 1        ; 0        ; 0        ;
2370
; p1|altpll_component|pll|clk[1]               ; p1|altpll_component|pll|clk[1]               ; 339      ; 0        ; 0        ; 0        ;
2371
; CLOCK_50                                     ; TCK                                          ; 94       ; 0        ; 0        ; 0        ;
2372
; TCK                                          ; TCK                                          ; 16       ; 0        ; 0        ; 0        ;
2373
; CLOCK_50                                     ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; 198      ; 0        ; 0        ; 0        ;
2374
; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; 1440     ; 0        ; 0        ; 0        ;
2375
; USB_JTAG:u1|mTCK                             ; USB_JTAG:u1|mTCK                             ; 46       ; 0        ; 0        ; 0        ;
2376
+----------------------------------------------+----------------------------------------------+----------+----------+----------+----------+
2377
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
2378
 
2379
 
2380
+-----------------------------------------------------------------------------------------------------------------------------------------+
2381
; Hold Transfers                                                                                                                          ;
2382
+----------------------------------------------+----------------------------------------------+----------+----------+----------+----------+
2383
; From Clock                                   ; To Clock                                     ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
2384
+----------------------------------------------+----------------------------------------------+----------+----------+----------+----------+
2385
; AUDIO_DAC:u11|LRCK_1X                        ; AUDIO_DAC:u11|LRCK_1X                        ; 0        ; 0        ; 0        ; 54       ;
2386
; AUDIO_DAC:u11|LRCK_4X                        ; AUDIO_DAC:u11|LRCK_2X                        ; 0        ; 0        ; 16       ; 0        ;
2387
; AUDIO_DAC:u11|LRCK_4X                        ; AUDIO_DAC:u11|LRCK_4X                        ; 0        ; 16       ; 0        ; 737      ;
2388
; CLOCK_50                                     ; AUDIO_DAC:u11|LRCK_4X                        ; 16       ; 0        ; 0        ; 0        ;
2389
; AUDIO_DAC:u11|oAUD_BCK                       ; AUDIO_DAC:u11|oAUD_BCK                       ; 0        ; 0        ; 0        ; 13       ;
2390
; AUDIO_DAC:u11|LRCK_4X                        ; CLOCK_50                                     ; 0        ; 22       ; 0        ; 0        ;
2391
; CLOCK_50                                     ; CLOCK_50                                     ; 15981    ; 0        ; 0        ; 0        ;
2392
; I2C_AV_Config:u10|mI2C_CTRL_CLK              ; CLOCK_50                                     ; 1        ; 1        ; 0        ; 0        ;
2393
; TCK                                          ; CLOCK_50                                     ; 3        ; 1        ; 0        ; 0        ;
2394
; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; CLOCK_50                                     ; 18       ; 0        ; 0        ; 0        ;
2395
; USB_JTAG:u1|mTCK                             ; CLOCK_50                                     ; 18       ; 0        ; 0        ; 0        ;
2396
; I2C_AV_Config:u10|mI2C_CTRL_CLK              ; I2C_AV_Config:u10|mI2C_CTRL_CLK              ; 383      ; 0        ; 0        ; 0        ;
2397
; CLOCK_50                                     ; p1|altpll_component|pll|clk[0]               ; 887      ; 0        ; 0        ; 0        ;
2398
; p1|altpll_component|pll|clk[0]               ; p1|altpll_component|pll|clk[0]               ; 3996632  ; 0        ; 0        ; 0        ;
2399
; AUDIO_DAC:u11|LRCK_1X                        ; p1|altpll_component|pll|clk[1]               ; 1        ; 1        ; 0        ; 0        ;
2400
; AUDIO_DAC:u11|LRCK_2X                        ; p1|altpll_component|pll|clk[1]               ; 1        ; 1        ; 0        ; 0        ;
2401
; AUDIO_DAC:u11|LRCK_4X                        ; p1|altpll_component|pll|clk[1]               ; 1        ; 1        ; 0        ; 0        ;
2402
; AUDIO_DAC:u11|oAUD_BCK                       ; p1|altpll_component|pll|clk[1]               ; 1        ; 1        ; 0        ; 0        ;
2403
; p1|altpll_component|pll|clk[1]               ; p1|altpll_component|pll|clk[1]               ; 339      ; 0        ; 0        ; 0        ;
2404
; CLOCK_50                                     ; TCK                                          ; 94       ; 0        ; 0        ; 0        ;
2405
; TCK                                          ; TCK                                          ; 16       ; 0        ; 0        ; 0        ;
2406
; CLOCK_50                                     ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; 198      ; 0        ; 0        ; 0        ;
2407
; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; u3|u1|sdram_pll1|altpll_component|pll|clk[0] ; 1440     ; 0        ; 0        ; 0        ;
2408
; USB_JTAG:u1|mTCK                             ; USB_JTAG:u1|mTCK                             ; 46       ; 0        ; 0        ; 0        ;
2409
+----------------------------------------------+----------------------------------------------+----------+----------+----------+----------+
2410
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
2411
 
2412
 
2413
+-----------------------------------------------------------------------------------------+
2414
; Recovery Transfers                                                                      ;
2415
+------------+--------------------------------+----------+----------+----------+----------+
2416
; From Clock ; To Clock                       ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
2417
+------------+--------------------------------+----------+----------+----------+----------+
2418
; CLOCK_50   ; AUDIO_DAC:u11|LRCK_1X          ; 0        ; 0        ; 6        ; 0        ;
2419
; CLOCK_50   ; AUDIO_DAC:u11|LRCK_2X          ; 0        ; 0        ; 16       ; 0        ;
2420
; CLOCK_50   ; AUDIO_DAC:u11|LRCK_4X          ; 16       ; 0        ; 22       ; 0        ;
2421
; CLOCK_50   ; AUDIO_DAC:u11|oAUD_BCK         ; 0        ; 0        ; 7        ; 0        ;
2422
; CLOCK_50   ; p1|altpll_component|pll|clk[0] ; 73       ; 0        ; 0        ; 0        ;
2423
; CLOCK_50   ; p1|altpll_component|pll|clk[1] ; 31       ; 0        ; 0        ; 0        ;
2424
+------------+--------------------------------+----------+----------+----------+----------+
2425
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
2426
 
2427
 
2428
+-----------------------------------------------------------------------------------------+
2429
; Removal Transfers                                                                       ;
2430
+------------+--------------------------------+----------+----------+----------+----------+
2431
; From Clock ; To Clock                       ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
2432
+------------+--------------------------------+----------+----------+----------+----------+
2433
; CLOCK_50   ; AUDIO_DAC:u11|LRCK_1X          ; 0        ; 0        ; 6        ; 0        ;
2434
; CLOCK_50   ; AUDIO_DAC:u11|LRCK_2X          ; 0        ; 0        ; 16       ; 0        ;
2435
; CLOCK_50   ; AUDIO_DAC:u11|LRCK_4X          ; 16       ; 0        ; 22       ; 0        ;
2436
; CLOCK_50   ; AUDIO_DAC:u11|oAUD_BCK         ; 0        ; 0        ; 7        ; 0        ;
2437
; CLOCK_50   ; p1|altpll_component|pll|clk[0] ; 73       ; 0        ; 0        ; 0        ;
2438
; CLOCK_50   ; p1|altpll_component|pll|clk[1] ; 31       ; 0        ; 0        ; 0        ;
2439
+------------+--------------------------------+----------+----------+----------+----------+
2440
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
2441
 
2442
 
2443
---------------
2444
; Report TCCS ;
2445
---------------
2446
No dedicated SERDES Transmitter circuitry present in device or used in design.
2447
 
2448
 
2449
---------------
2450
; Report RSKM ;
2451
---------------
2452
No dedicated SERDES Receiver circuitry present in device or used in design.
2453
 
2454
 
2455
+------------------------------------------------+
2456
; Unconstrained Paths                            ;
2457
+---------------------------------+-------+------+
2458
; Property                        ; Setup ; Hold ;
2459
+---------------------------------+-------+------+
2460
; Illegal Clocks                  ; 0     ; 0    ;
2461
; Unconstrained Clocks            ; 0     ; 0    ;
2462
; Unconstrained Input Ports       ; 48    ; 48   ;
2463
; Unconstrained Input Port Paths  ; 871   ; 871  ;
2464
; Unconstrained Output Ports      ; 175   ; 175  ;
2465
; Unconstrained Output Port Paths ; 793   ; 793  ;
2466
+---------------------------------+-------+------+
2467
 
2468
 
2469
+------------------------------------+
2470
; TimeQuest Timing Analyzer Messages ;
2471
+------------------------------------+
2472
Info: *******************************************************************
2473
Info: Running Quartus II TimeQuest Timing Analyzer
2474
    Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
2475
    Info: Processing started: Sun Oct 11 12:25:10 2009
2476
Info: Command: quartus_sta CII_Starter_USB_API -c CII_Starter_USB_API
2477
Info: qsta_default_script.tcl version: #1
2478
Critical Warning: Synopsys Design Constraints File file not found: 'CII_Starter_USB_API.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
2479
Info: No user constrained generated clocks found in the design. Calling "derive_pll_clocks -create_base_clocks"
2480
Info: Deriving PLL Clocks
2481
    Info: create_clock -period 37.037 -waveform {0.000 18.518} -name CLOCK_27[0] CLOCK_27[0]
2482
    Info: create_clock -period 20.000 -waveform {0.000 10.000} -name CLOCK_50 CLOCK_50
2483
    Info: create_generated_clock -source {u3|u1|sdram_pll1|altpll_component|pll|inclk[0]} -duty_cycle 50.00 -name {u3|u1|sdram_pll1|altpll_component|pll|clk[0]} {u3|u1|sdram_pll1|altpll_component|pll|clk[0]}
2484
    Info: create_generated_clock -source {u3|u1|sdram_pll1|altpll_component|pll|inclk[0]} -duty_cycle 50.00 -name {u3|u1|sdram_pll1|altpll_component|pll|clk[2]} {u3|u1|sdram_pll1|altpll_component|pll|clk[2]}
2485
    Info: create_generated_clock -source {p1|altpll_component|pll|inclk[0]} -divide_by 15 -multiply_by 14 -duty_cycle 50.00 -name {p1|altpll_component|pll|clk[0]} {p1|altpll_component|pll|clk[0]}
2486
    Info: create_generated_clock -source {p1|altpll_component|pll|inclk[0]} -divide_by 3 -multiply_by 2 -duty_cycle 50.00 -name {p1|altpll_component|pll|clk[1]} {p1|altpll_component|pll|clk[1]}
2487
Info: No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
2488
Info: Deriving Clocks
2489
    Info: create_clock -period 1.000 -name USB_JTAG:u1|mTCK USB_JTAG:u1|mTCK
2490
    Info: create_clock -period 1.000 -name TCK TCK
2491
    Info: create_clock -period 1.000 -name AUDIO_DAC:u11|LRCK_4X AUDIO_DAC:u11|LRCK_4X
2492
    Info: create_clock -period 1.000 -name I2C_AV_Config:u10|mI2C_CTRL_CLK I2C_AV_Config:u10|mI2C_CTRL_CLK
2493
    Info: create_clock -period 1.000 -name AUDIO_DAC:u11|LRCK_1X AUDIO_DAC:u11|LRCK_1X
2494
    Info: create_clock -period 1.000 -name AUDIO_DAC:u11|oAUD_BCK AUDIO_DAC:u11|oAUD_BCK
2495
    Info: create_clock -period 1.000 -name AUDIO_DAC:u11|LRCK_2X AUDIO_DAC:u11|LRCK_2X
2496
Info: Analyzing Slow Model
2497
Critical Warning: Timing requirements not met
2498
Info: Worst-case setup slack is -8.207
2499
    Info:     Slack End Point TNS Clock
2500
    Info: ========= ============= =====================
2501
    Info:    -8.207       -93.462 p1|altpll_component|pll|clk[0]
2502
    Info:    -4.280        -9.429 TCK
2503
    Info:    -3.812      -101.895 AUDIO_DAC:u11|LRCK_4X
2504
    Info:    -2.906       -72.732 I2C_AV_Config:u10|mI2C_CTRL_CLK
2505
    Info:    -1.570       -12.001 CLOCK_50
2506
    Info:    -1.477        -7.476 AUDIO_DAC:u11|LRCK_1X
2507
    Info:    -1.281       -12.787 AUDIO_DAC:u11|LRCK_2X
2508
    Info:    -1.098        -9.913 USB_JTAG:u1|mTCK
2509
    Info:    -0.490        -0.861 AUDIO_DAC:u11|oAUD_BCK
2510
    Info:    -0.349        -1.380 p1|altpll_component|pll|clk[1]
2511
    Info:    12.201         0.000 u3|u1|sdram_pll1|altpll_component|pll|clk[0]
2512
Info: Worst-case hold slack is -2.702
2513
    Info:     Slack End Point TNS Clock
2514
    Info: ========= ============= =====================
2515
    Info:    -2.702       -29.887 CLOCK_50
2516
    Info:     0.092         0.000 p1|altpll_component|pll|clk[1]
2517
    Info:     0.445         0.000 AUDIO_DAC:u11|LRCK_1X
2518
    Info:     0.445         0.000 AUDIO_DAC:u11|LRCK_4X
2519
    Info:     0.445         0.000 AUDIO_DAC:u11|oAUD_BCK
2520
    Info:     0.445         0.000 I2C_AV_Config:u10|mI2C_CTRL_CLK
2521
    Info:     0.445         0.000 TCK
2522
    Info:     0.445         0.000 USB_JTAG:u1|mTCK
2523
    Info:     0.445         0.000 p1|altpll_component|pll|clk[0]
2524
    Info:     0.445         0.000 u3|u1|sdram_pll1|altpll_component|pll|clk[0]
2525
    Info:     1.325         0.000 AUDIO_DAC:u11|LRCK_2X
2526
Info: Worst-case recovery slack is -6.299
2527
    Info:     Slack End Point TNS Clock
2528
    Info: ========= ============= =====================
2529
    Info:    -6.299      -449.521 p1|altpll_component|pll|clk[0]
2530
    Info:    -5.585      -172.713 p1|altpll_component|pll|clk[1]
2531
    Info:    -3.362      -112.147 AUDIO_DAC:u11|LRCK_4X
2532
    Info:    -3.234       -49.977 AUDIO_DAC:u11|LRCK_2X
2533
    Info:    -2.733       -16.398 AUDIO_DAC:u11|LRCK_1X
2534
    Info:    -2.710       -18.970 AUDIO_DAC:u11|oAUD_BCK
2535
Info: Worst-case removal slack is 2.977
2536
    Info:     Slack End Point TNS Clock
2537
    Info: ========= ============= =====================
2538
    Info:     2.977         0.000 AUDIO_DAC:u11|LRCK_4X
2539
    Info:     3.462         0.000 AUDIO_DAC:u11|oAUD_BCK
2540
    Info:     3.485         0.000 AUDIO_DAC:u11|LRCK_1X
2541
    Info:     3.686         0.000 AUDIO_DAC:u11|LRCK_2X
2542
    Info:     5.306         0.000 p1|altpll_component|pll|clk[1]
2543
    Info:     5.800         0.000 p1|altpll_component|pll|clk[0]
2544
Critical Warning: Found minimum pulse width or period violations. See Report Minimum Pulse Width for details.
2545
Info: The selected device family is not supported by the report_metastability command.
2546
Info: Analyzing Fast Model
2547
Info: Started post-fitting delay annotation
2548
Warning: Found 256 output pins without output pin load capacitance assignment
2549
    Info: Pin "HEX0[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2550
    Info: Pin "HEX0[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2551
    Info: Pin "HEX0[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2552
    Info: Pin "HEX0[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2553
    Info: Pin "HEX0[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2554
    Info: Pin "HEX0[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2555
    Info: Pin "HEX0[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2556
    Info: Pin "HEX1[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2557
    Info: Pin "HEX1[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2558
    Info: Pin "HEX1[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2559
    Info: Pin "HEX1[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2560
    Info: Pin "HEX1[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2561
    Info: Pin "HEX1[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2562
    Info: Pin "HEX1[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2563
    Info: Pin "HEX2[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2564
    Info: Pin "HEX2[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2565
    Info: Pin "HEX2[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2566
    Info: Pin "HEX2[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2567
    Info: Pin "HEX2[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2568
    Info: Pin "HEX2[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2569
    Info: Pin "HEX2[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2570
    Info: Pin "HEX3[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2571
    Info: Pin "HEX3[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2572
    Info: Pin "HEX3[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2573
    Info: Pin "HEX3[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2574
    Info: Pin "HEX3[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2575
    Info: Pin "HEX3[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2576
    Info: Pin "HEX3[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2577
    Info: Pin "LEDG[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2578
    Info: Pin "LEDG[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2579
    Info: Pin "LEDG[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2580
    Info: Pin "LEDG[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2581
    Info: Pin "LEDG[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2582
    Info: Pin "LEDG[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2583
    Info: Pin "LEDG[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2584
    Info: Pin "LEDG[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2585
    Info: Pin "LEDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2586
    Info: Pin "LEDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2587
    Info: Pin "LEDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2588
    Info: Pin "LEDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2589
    Info: Pin "LEDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2590
    Info: Pin "LEDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2591
    Info: Pin "LEDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2592
    Info: Pin "LEDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2593
    Info: Pin "LEDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2594
    Info: Pin "LEDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2595
    Info: Pin "UART_TXD" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2596
    Info: Pin "DRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2597
    Info: Pin "DRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2598
    Info: Pin "DRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2599
    Info: Pin "DRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2600
    Info: Pin "DRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2601
    Info: Pin "DRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2602
    Info: Pin "DRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2603
    Info: Pin "DRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2604
    Info: Pin "DRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2605
    Info: Pin "DRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2606
    Info: Pin "DRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2607
    Info: Pin "DRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2608
    Info: Pin "DRAM_LDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2609
    Info: Pin "DRAM_UDQM" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2610
    Info: Pin "DRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2611
    Info: Pin "DRAM_CAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2612
    Info: Pin "DRAM_RAS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2613
    Info: Pin "DRAM_CS_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2614
    Info: Pin "DRAM_BA_0" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2615
    Info: Pin "DRAM_BA_1" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2616
    Info: Pin "DRAM_CLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2617
    Info: Pin "DRAM_CKE" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2618
    Info: Pin "FL_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2619
    Info: Pin "FL_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2620
    Info: Pin "FL_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2621
    Info: Pin "FL_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2622
    Info: Pin "FL_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2623
    Info: Pin "FL_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2624
    Info: Pin "FL_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2625
    Info: Pin "FL_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2626
    Info: Pin "FL_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2627
    Info: Pin "FL_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2628
    Info: Pin "FL_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2629
    Info: Pin "FL_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2630
    Info: Pin "FL_ADDR[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2631
    Info: Pin "FL_ADDR[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2632
    Info: Pin "FL_ADDR[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2633
    Info: Pin "FL_ADDR[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2634
    Info: Pin "FL_ADDR[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2635
    Info: Pin "FL_ADDR[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2636
    Info: Pin "FL_ADDR[18]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2637
    Info: Pin "FL_ADDR[19]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2638
    Info: Pin "FL_ADDR[20]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2639
    Info: Pin "FL_ADDR[21]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2640
    Info: Pin "FL_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2641
    Info: Pin "FL_RST_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2642
    Info: Pin "FL_OE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2643
    Info: Pin "FL_CE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2644
    Info: Pin "SRAM_ADDR[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2645
    Info: Pin "SRAM_ADDR[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2646
    Info: Pin "SRAM_ADDR[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2647
    Info: Pin "SRAM_ADDR[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2648
    Info: Pin "SRAM_ADDR[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2649
    Info: Pin "SRAM_ADDR[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2650
    Info: Pin "SRAM_ADDR[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2651
    Info: Pin "SRAM_ADDR[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2652
    Info: Pin "SRAM_ADDR[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2653
    Info: Pin "SRAM_ADDR[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2654
    Info: Pin "SRAM_ADDR[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2655
    Info: Pin "SRAM_ADDR[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2656
    Info: Pin "SRAM_ADDR[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2657
    Info: Pin "SRAM_ADDR[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2658
    Info: Pin "SRAM_ADDR[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2659
    Info: Pin "SRAM_ADDR[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2660
    Info: Pin "SRAM_ADDR[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2661
    Info: Pin "SRAM_ADDR[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2662
    Info: Pin "SRAM_UB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2663
    Info: Pin "SRAM_LB_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2664
    Info: Pin "SRAM_WE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2665
    Info: Pin "SRAM_CE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2666
    Info: Pin "SRAM_OE_N" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2667
    Info: Pin "SD_CLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2668
    Info: Pin "TDO" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2669
    Info: Pin "I2C_SCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2670
    Info: Pin "VGA_HS" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2671
    Info: Pin "VGA_VS" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2672
    Info: Pin "VGA_R[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2673
    Info: Pin "VGA_R[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2674
    Info: Pin "VGA_R[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2675
    Info: Pin "VGA_R[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2676
    Info: Pin "VGA_G[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2677
    Info: Pin "VGA_G[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2678
    Info: Pin "VGA_G[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2679
    Info: Pin "VGA_G[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2680
    Info: Pin "VGA_B[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2681
    Info: Pin "VGA_B[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2682
    Info: Pin "VGA_B[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2683
    Info: Pin "VGA_B[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2684
    Info: Pin "AUD_ADCLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2685
    Info: Pin "AUD_DACLRCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2686
    Info: Pin "AUD_DACDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2687
    Info: Pin "AUD_XCK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2688
    Info: Pin "SD_DAT3" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2689
    Info: Pin "SD_CMD" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2690
    Info: Pin "DRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2691
    Info: Pin "DRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2692
    Info: Pin "DRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2693
    Info: Pin "DRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2694
    Info: Pin "DRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2695
    Info: Pin "DRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2696
    Info: Pin "DRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2697
    Info: Pin "DRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2698
    Info: Pin "DRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2699
    Info: Pin "DRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2700
    Info: Pin "DRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2701
    Info: Pin "DRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2702
    Info: Pin "DRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2703
    Info: Pin "DRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2704
    Info: Pin "DRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2705
    Info: Pin "DRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2706
    Info: Pin "FL_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2707
    Info: Pin "FL_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2708
    Info: Pin "FL_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2709
    Info: Pin "FL_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2710
    Info: Pin "FL_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2711
    Info: Pin "FL_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2712
    Info: Pin "FL_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2713
    Info: Pin "FL_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2714
    Info: Pin "SRAM_DQ[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2715
    Info: Pin "SRAM_DQ[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2716
    Info: Pin "SRAM_DQ[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2717
    Info: Pin "SRAM_DQ[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2718
    Info: Pin "SRAM_DQ[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2719
    Info: Pin "SRAM_DQ[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2720
    Info: Pin "SRAM_DQ[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2721
    Info: Pin "SRAM_DQ[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2722
    Info: Pin "SRAM_DQ[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2723
    Info: Pin "SRAM_DQ[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2724
    Info: Pin "SRAM_DQ[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2725
    Info: Pin "SRAM_DQ[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2726
    Info: Pin "SRAM_DQ[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2727
    Info: Pin "SRAM_DQ[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2728
    Info: Pin "SRAM_DQ[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2729
    Info: Pin "SRAM_DQ[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2730
    Info: Pin "SD_DAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2731
    Info: Pin "I2C_SDAT" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2732
    Info: Pin "AUD_BCLK" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2733
    Info: Pin "GPIO_0[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2734
    Info: Pin "GPIO_0[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2735
    Info: Pin "GPIO_0[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2736
    Info: Pin "GPIO_0[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2737
    Info: Pin "GPIO_0[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2738
    Info: Pin "GPIO_0[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2739
    Info: Pin "GPIO_0[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2740
    Info: Pin "GPIO_0[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2741
    Info: Pin "GPIO_0[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2742
    Info: Pin "GPIO_0[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2743
    Info: Pin "GPIO_0[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2744
    Info: Pin "GPIO_0[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2745
    Info: Pin "GPIO_0[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2746
    Info: Pin "GPIO_0[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2747
    Info: Pin "GPIO_0[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2748
    Info: Pin "GPIO_0[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2749
    Info: Pin "GPIO_0[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2750
    Info: Pin "GPIO_0[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2751
    Info: Pin "GPIO_0[18]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2752
    Info: Pin "GPIO_0[19]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2753
    Info: Pin "GPIO_0[20]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2754
    Info: Pin "GPIO_0[21]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2755
    Info: Pin "GPIO_0[22]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2756
    Info: Pin "GPIO_0[23]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2757
    Info: Pin "GPIO_0[24]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2758
    Info: Pin "GPIO_0[25]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2759
    Info: Pin "GPIO_0[26]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2760
    Info: Pin "GPIO_0[27]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2761
    Info: Pin "GPIO_0[28]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2762
    Info: Pin "GPIO_0[29]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2763
    Info: Pin "GPIO_0[30]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2764
    Info: Pin "GPIO_0[31]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2765
    Info: Pin "GPIO_0[32]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2766
    Info: Pin "GPIO_0[33]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2767
    Info: Pin "GPIO_0[34]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2768
    Info: Pin "GPIO_0[35]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2769
    Info: Pin "GPIO_1[0]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2770
    Info: Pin "GPIO_1[1]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2771
    Info: Pin "GPIO_1[2]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2772
    Info: Pin "GPIO_1[3]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2773
    Info: Pin "GPIO_1[4]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2774
    Info: Pin "GPIO_1[5]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2775
    Info: Pin "GPIO_1[6]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2776
    Info: Pin "GPIO_1[7]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2777
    Info: Pin "GPIO_1[8]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2778
    Info: Pin "GPIO_1[9]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2779
    Info: Pin "GPIO_1[10]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2780
    Info: Pin "GPIO_1[11]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2781
    Info: Pin "GPIO_1[12]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2782
    Info: Pin "GPIO_1[13]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2783
    Info: Pin "GPIO_1[14]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2784
    Info: Pin "GPIO_1[15]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2785
    Info: Pin "GPIO_1[16]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2786
    Info: Pin "GPIO_1[17]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2787
    Info: Pin "GPIO_1[18]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2788
    Info: Pin "GPIO_1[19]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2789
    Info: Pin "GPIO_1[20]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2790
    Info: Pin "GPIO_1[21]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2791
    Info: Pin "GPIO_1[22]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2792
    Info: Pin "GPIO_1[23]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2793
    Info: Pin "GPIO_1[24]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2794
    Info: Pin "GPIO_1[25]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2795
    Info: Pin "GPIO_1[26]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2796
    Info: Pin "GPIO_1[27]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2797
    Info: Pin "GPIO_1[28]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2798
    Info: Pin "GPIO_1[29]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2799
    Info: Pin "GPIO_1[30]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2800
    Info: Pin "GPIO_1[31]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2801
    Info: Pin "GPIO_1[32]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2802
    Info: Pin "GPIO_1[33]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2803
    Info: Pin "GPIO_1[34]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2804
    Info: Pin "GPIO_1[35]" has no specified output pin load capacitance -- assuming default load capacitance of 0 pF for timing analysis
2805
Info: Delay annotation completed successfully
2806
Critical Warning: Timing requirements not met
2807
Info: Worst-case setup slack is -4.123
2808
    Info:     Slack End Point TNS Clock
2809
    Info: ========= ============= =====================
2810
    Info:    -4.123       -47.614 p1|altpll_component|pll|clk[0]
2811
    Info:    -1.188        -1.216 TCK
2812
    Info:    -0.857       -22.460 AUDIO_DAC:u11|LRCK_4X
2813
    Info:    -0.493        -1.956 p1|altpll_component|pll|clk[1]
2814
    Info:    -0.456        -5.870 I2C_AV_Config:u10|mI2C_CTRL_CLK
2815
    Info:    -0.221        -0.692 AUDIO_DAC:u11|LRCK_2X
2816
    Info:    -0.193        -0.496 CLOCK_50
2817
    Info:     0.029         0.000 AUDIO_DAC:u11|LRCK_1X
2818
    Info:     0.071         0.000 USB_JTAG:u1|mTCK
2819
    Info:     0.418         0.000 AUDIO_DAC:u11|oAUD_BCK
2820
    Info:    15.989         0.000 u3|u1|sdram_pll1|altpll_component|pll|clk[0]
2821
Info: Worst-case hold slack is -1.811
2822
    Info:     Slack End Point TNS Clock
2823
    Info: ========= ============= =====================
2824
    Info:    -1.811       -29.851 CLOCK_50
2825
    Info:     0.148         0.000 TCK
2826
    Info:     0.215         0.000 AUDIO_DAC:u11|LRCK_1X
2827
    Info:     0.215         0.000 AUDIO_DAC:u11|LRCK_4X
2828
    Info:     0.215         0.000 AUDIO_DAC:u11|oAUD_BCK
2829
    Info:     0.215         0.000 I2C_AV_Config:u10|mI2C_CTRL_CLK
2830
    Info:     0.215         0.000 USB_JTAG:u1|mTCK
2831
    Info:     0.215         0.000 p1|altpll_component|pll|clk[0]
2832
    Info:     0.215         0.000 p1|altpll_component|pll|clk[1]
2833
    Info:     0.215         0.000 u3|u1|sdram_pll1|altpll_component|pll|clk[0]
2834
    Info:     0.805         0.000 AUDIO_DAC:u11|LRCK_2X
2835
Info: Worst-case recovery slack is -3.677
2836
    Info:     Slack End Point TNS Clock
2837
    Info: ========= ============= =====================
2838
    Info:    -3.677      -264.018 p1|altpll_component|pll|clk[0]
2839
    Info:    -3.379      -104.241 p1|altpll_component|pll|clk[1]
2840
    Info:    -1.334       -39.561 AUDIO_DAC:u11|LRCK_4X
2841
    Info:    -1.264       -19.520 AUDIO_DAC:u11|LRCK_2X
2842
    Info:    -1.079        -6.474 AUDIO_DAC:u11|LRCK_1X
2843
    Info:    -1.071        -7.497 AUDIO_DAC:u11|oAUD_BCK
2844
Info: Worst-case removal slack is 1.458
2845
    Info:     Slack End Point TNS Clock
2846
    Info: ========= ============= =====================
2847
    Info:     1.458         0.000 AUDIO_DAC:u11|LRCK_4X
2848
    Info:     1.951         0.000 AUDIO_DAC:u11|oAUD_BCK
2849
    Info:     1.959         0.000 AUDIO_DAC:u11|LRCK_1X
2850
    Info:     2.025         0.000 AUDIO_DAC:u11|LRCK_2X
2851
    Info:     3.242         0.000 p1|altpll_component|pll|clk[1]
2852
    Info:     3.466         0.000 p1|altpll_component|pll|clk[0]
2853
Critical Warning: Found minimum pulse width or period violations. See Report Minimum Pulse Width for details.
2854
Info: The selected device family is not supported by the report_metastability command.
2855
Info: Design is not fully constrained for setup requirements
2856
Info: Design is not fully constrained for hold requirements
2857
Info: Quartus II TimeQuest Timing Analyzer was successful. 0 errors, 6 warnings
2858
    Info: Peak virtual memory: 177 megabytes
2859
    Info: Processing ended: Sun Oct 11 12:25:21 2009
2860
    Info: Elapsed time: 00:00:11
2861
    Info: Total CPU time (on all processors): 00:00:10
2862
 
2863
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.