OpenCores
URL https://opencores.org/ocsvn/802154phycore/802154phycore/trunk

Subversion Repositories 802154phycore

[/] [802154phycore/] [trunk/] [rtl/] [ieee_802_15_4_phy.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 entactogen
-- Copyright (c) 2010 Antonio de la Piedra
2
 
3
-- This program is free software: you can redistribute it and/or modify
4
-- it under the terms of the GNU General Public License as published by
5
-- the Free Software Foundation, either version 3 of the License, or
6
-- (at your option) any later version.
7
 
8
-- This program is distributed in the hope that it will be useful,
9
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
10
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
11
-- GNU General Public License for more details.
12
 
13
-- You should have received a copy of the GNU General Public License
14
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
15
 
16
-- A VHDL model of the IEEE 802.15.4 physical layer.
17
 
18
library IEEE;
19
use IEEE.STD_LOGIC_1164.ALL;
20
use IEEE.STD_LOGIC_ARITH.ALL;
21
use IEEE.STD_LOGIC_UNSIGNED.ALL;
22
 
23
entity ieee_802_15_4_phy is
24
        port(   clk_1_mhz : in std_logic;
25
                clk_8_mhz : in std_logic;
26
 
27
                rst : in std_logic;
28
 
29
                tx_start : in std_logic;
30
                tx_symbol :in std_logic_vector(3 downto 0);
31
                tx_i_out : out std_logic_vector(9 downto 0);
32
                tx_q_out : out std_logic_vector(9 downto 0);
33
 
34
                rx_start : in std_logic;
35
                rx_i_in : in std_logic_vector(9 downto 0);
36
                rx_q_in : in std_logic_vector(9 downto 0);
37
                rx_sym_out : out std_logic_vector(3 downto 0));
38
 
39
 
40
end ieee_802_15_4_phy;
41
 
42
architecture Behavioral of ieee_802_15_4_phy is
43
 
44
begin
45
 
46
  TX : entity work.tx_core(Behavioral) port map (clk_1_mhz,
47
                                                 clk_8_mhz,
48
                                                 tx_start,
49
                                                 rst,
50
                                                 tx_symbol,
51
                                                 tx_i_out,
52
                                                 tx_q_out);
53
 
54
 
55
  RX : entity work.rx_core(Behavioral) port map (clk_1_mhz,
56
                                                 clk_8_mhz,
57
                                                 rx_start,
58
                                                 rst,
59
                                                 rx_i_in,
60
                                                 rx_q_in,
61
                                                 rx_sym_out);
62
 
63
 
64
end Behavioral;
65
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.