OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_comp.v] - Blame information for rev 186

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 95 simont
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  8051 compare                                                ////
4
////                                                              ////
5
////  This file is part of the 8051 cores project                 ////
6
////  http://www.opencores.org/cores/8051/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////   compares selected inputs and set eq to 1 if they are equal ////
10
////   Is used for conditional jumps.                             ////
11
////                                                              ////
12
////  To Do:                                                      ////
13
////   replace CSS_AZ with CSS_DES                                ////
14
////                                                              ////
15
////  Author(s):                                                  ////
16
////      - Simon Teran, simont@opencores.org                     ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48 179 simont
// Revision 1.7  2003/04/25 17:15:51  simont
49
// change branch instruction execution (reduse needed clock periods).
50
//
51 132 simont
// Revision 1.6  2003/04/02 11:26:21  simont
52
// updating...
53
//
54 95 simont
// Revision 1.5  2002/09/30 17:33:59  simont
55
// prepared header
56
//
57
//
58
 
59
// synopsys translate_off
60
`include "oc8051_timescale.v"
61
// synopsys translate_on
62
 
63
`include "oc8051_defines.v"
64
 
65
 
66 132 simont
module oc8051_comp (sel, b_in, cy, acc, des, /*comp_wait, */eq);
67 95 simont
//
68
// sel          (in)  select whithc sourses to compare (look defines.v) [oc8051_decoder.comp_sel]
69
// b_in         (in)  bit in - output from bit addressable memory space [oc8051_ram_sel.bit_out]
70
// cy           (in)  carry flag [oc8051_psw.data_out[7] ]
71
// acc          (in)  accumulator [oc8051_acc.data_out]
72
// ram          (in)  input from ram [oc8051_ram_sel.out_data]
73
// op2          (in)  immediate data [oc8051_op_select.op2_out -r]
74
// des          (in)  destination from alu [oc8051_alu.des1 -r]
75
// eq           (out) if (src1 == src2) eq = 1  [oc8051_decoder.eq]
76
//
77
 
78
 
79
input [1:0] sel;
80 132 simont
input b_in, cy/*, comp_wait*/;
81 95 simont
input [7:0] acc, des;
82
 
83
output eq;
84
 
85 132 simont
reg eq_r;
86
 
87
assign eq = eq_r;// & comp_wait;
88
 
89
 
90 95 simont
always @(sel or b_in or cy or acc or des)
91
begin
92 179 simont
  case (sel) /* synopsys full_case parallel_case */
93 132 simont
    `OC8051_CSS_AZ  : eq_r = (acc == 8'h00);
94
    `OC8051_CSS_DES : eq_r = (des == 8'h00);
95
    `OC8051_CSS_CY  : eq_r = cy;
96
    `OC8051_CSS_BIT : eq_r = b_in;
97 95 simont
  endcase
98
end
99
 
100
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.