OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [zxspectrum_de1/] [zxspectrum_de1.sdc] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 gdevic
#**************************************************************
2
## VENDOR  "Altera"
3
## PROGRAM "Quartus II"
4
## VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
5
##
6
## DEVICE  "EP2C20F484C7"
7
##
8
#**************************************************************
9
# Time Information
10
#**************************************************************
11
 
12
set_time_format -unit ns -decimal_places 3
13
 
14
#**************************************************************
15
# Create Clock
16
#**************************************************************
17
 
18
create_clock -name "CLOCK_27" -period 27MHz [get_ports {CLOCK_27}]
19
create_clock -name "CLOCK_24" -period 24MHz [get_ports {CLOCK_24}]
20
create_clock -name KEY1 -period 10.000 [get_ports {KEY1}]
21
create_clock -name beep -period 10.000 [get_registers {ula:ula_|beep}]
22
 
23
derive_pll_clocks -create_base_clocks
24
 
25
#**************************************************************
26
# Create Generated Clock
27
#**************************************************************
28
 
29
create_generated_clock -name clk_cpu -source [get_pins {ula_|clocks_|clk_cpu|clk}] -divide_by 4 [get_pins {ula_|clocks_|clk_cpu|regout}]
30
 
31
#**************************************************************
32
# Set Clock Latency
33
#**************************************************************
34
 
35
 
36
#**************************************************************
37
# Set Clock Uncertainty
38
#**************************************************************
39
 
40
derive_clock_uncertainty
41
 
42
#**************************************************************
43
# Set Input Delay
44
#**************************************************************
45
 
46
set_input_delay -clock CLOCK_27 -max 2 [all_inputs]
47
set_input_delay -clock CLOCK_27 -min 1 [all_inputs]
48
 
49
set_input_delay -add_delay -max -clock [get_clocks {CLOCK_24}]  2.000 [get_ports {CLOCK_24}]
50
set_input_delay -add_delay -min -clock [get_clocks {CLOCK_24}]  1.000 [get_ports {CLOCK_24}]
51
 
52
set_input_delay -add_delay -max -clock [get_clocks {CLOCK_27}]  2.000 [get_ports {CLOCK_27}]
53
set_input_delay -add_delay -min -clock [get_clocks {CLOCK_27}]  1.000 [get_ports {CLOCK_27}]
54
 
55
#**************************************************************
56
# Set Output Delay
57
#**************************************************************
58
 
59
set_output_delay -clock CLOCK_24 10 [all_outputs]
60
 
61
#**************************************************************
62
# Set Clock Groups
63
#**************************************************************
64
 
65
set_clock_groups -asynchronous \
66
 -group [get_clocks {CLOCK_24}] \
67
 -group [get_clocks {CLOCK_27}] \
68
 -group [get_clocks {clk_cpu}] \
69
 -group [get_clocks {KEY1}] \
70
 -group [get_clocks {beep}] \
71
 -group ula_|pll_|altpll_component|pll|clk[0] \
72
 -group ula_|pll_|altpll_component|pll|clk[1]
73
 
74
#**************************************************************
75
# Set False Path
76
#**************************************************************
77
 
78
 
79
#**************************************************************
80
# Set Multicycle Path
81
#**************************************************************
82
 
83
 
84
#**************************************************************
85
# Set Maximum Delay
86
#**************************************************************
87
 
88
 
89
#**************************************************************
90
# Set Minimum Delay
91
#**************************************************************
92
 
93
 
94
#**************************************************************
95
# Set Input Transition
96
#**************************************************************
97
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.