OpenCores
URL https://opencores.org/ocsvn/amber/amber/trunk

Subversion Repositories amber

[/] [amber/] [trunk/] [hw/] [vlog/] [amber25/] [a25_execute.v] - Blame information for rev 82

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 csantifort
//////////////////////////////////////////////////////////////////
2
//                                                              //
3
//  Execute stage of Amber 25 Core                              //
4
//                                                              //
5
//  This file is part of the Amber project                      //
6
//  http://www.opencores.org/project,amber                      //
7
//                                                              //
8
//  Description                                                 //
9
//  Executes instructions. Instantiates the register file, ALU  //
10
//  multiplication unit and barrel shifter. This stage is       //
11
//  relitively simple. All the complex stuff is done in the     //
12
//  decode stage.                                               //
13
//                                                              //
14
//  Author(s):                                                  //
15
//      - Conor Santifort, csantifort.amber@gmail.com           //
16
//                                                              //
17
//////////////////////////////////////////////////////////////////
18
//                                                              //
19
// Copyright (C) 2011 Authors and OPENCORES.ORG                 //
20
//                                                              //
21
// This source file may be used and distributed without         //
22
// restriction provided that this copyright statement is not    //
23
// removed from the file and that any derivative work contains  //
24
// the original copyright notice and the associated disclaimer. //
25
//                                                              //
26
// This source file is free software; you can redistribute it   //
27
// and/or modify it under the terms of the GNU Lesser General   //
28
// Public License as published by the Free Software Foundation; //
29
// either version 2.1 of the License, or (at your option) any   //
30
// later version.                                               //
31
//                                                              //
32
// This source is distributed in the hope that it will be       //
33
// useful, but WITHOUT ANY WARRANTY; without even the implied   //
34
// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      //
35
// PURPOSE.  See the GNU Lesser General Public License for more //
36
// details.                                                     //
37
//                                                              //
38
// You should have received a copy of the GNU Lesser General    //
39
// Public License along with this source; if not, download it   //
40
// from http://www.opencores.org/lgpl.shtml                     //
41
//                                                              //
42
//////////////////////////////////////////////////////////////////
43
 
44
 
45
module a25_execute (
46
 
47
input                       i_clk,
48 35 csantifort
input                       i_core_stall,               // stall all stages of the Amber core at the same time
49 16 csantifort
input                       i_mem_stall,                // data memory access stalls
50 35 csantifort
output                      o_exec_stall,               // stall the core pipeline
51 16 csantifort
 
52
input       [31:0]          i_wb_read_data,             // data reads
53
input                       i_wb_read_data_valid,       // read data is valid
54 35 csantifort
input       [10:0]          i_wb_load_rd,               // Rd for data reads
55 16 csantifort
 
56
input       [31:0]          i_copro_read_data,          // From Co-Processor, to either Register 
57
                                                        // or Memory
58
input                       i_decode_iaccess,           // Indicates an instruction access
59
input                       i_decode_daccess,           // Indicates a data access
60
input       [7:0]           i_decode_load_rd,           // The destination register for a load instruction
61
 
62
output reg  [31:0]          o_copro_write_data = 'd0,
63
output reg  [31:0]          o_write_data = 'd0,
64
output reg  [31:0]          o_iaddress = 32'hdead_dead,
65
output      [31:0]          o_iaddress_nxt,             // un-registered version of address to the 
66
                                                        // cache rams address ports
67
output reg                  o_iaddress_valid = 'd0,     // High when instruction address is valid
68
output reg  [31:0]          o_daddress = 32'h0,         // Address to data cache
69
output      [31:0]          o_daddress_nxt,             // un-registered version of address to the 
70
                                                        // cache rams address ports
71
output reg                  o_daddress_valid = 'd0,     // High when data address is valid
72
output reg                  o_adex = 'd0,               // Address Exception
73
output reg                  o_priviledged = 'd0,        // Priviledged access
74
output reg                  o_exclusive = 'd0,          // swap access
75
output reg                  o_write_enable = 'd0,
76
output reg  [3:0]           o_byte_enable = 'd0,
77 35 csantifort
output reg  [8:0]           o_exec_load_rd = 'd0,       // The destination register for a load instruction
78 16 csantifort
output      [31:0]          o_status_bits,              // Full PC will all status bits, but PC part zero'ed out
79
output                      o_multiply_done,
80
 
81
 
82
// --------------------------------------------------
83
// Control signals from Instruction Decode stage
84
// --------------------------------------------------
85
input      [1:0]            i_status_bits_mode,
86
input                       i_status_bits_irq_mask,
87
input                       i_status_bits_firq_mask,
88
input      [31:0]           i_imm32,
89
input      [4:0]            i_imm_shift_amount,
90
input                       i_shift_imm_zero,
91
input      [3:0]            i_condition,
92
input                       i_decode_exclusive,       // swap access
93
 
94
input      [3:0]            i_rm_sel,
95
input      [3:0]            i_rs_sel,
96
input      [3:0]            i_rn_sel,
97
input      [1:0]            i_barrel_shift_amount_sel,
98
input      [1:0]            i_barrel_shift_data_sel,
99
input      [1:0]            i_barrel_shift_function,
100
input      [8:0]            i_alu_function,
101
input      [1:0]            i_multiply_function,
102
input      [2:0]            i_interrupt_vector_sel,
103
input      [3:0]            i_iaddress_sel,
104
input      [3:0]            i_daddress_sel,
105
input      [2:0]            i_pc_sel,
106
input      [1:0]            i_byte_enable_sel,
107
input      [2:0]            i_status_bits_sel,
108
input      [2:0]            i_reg_write_sel,
109
// input                       i_user_mode_regs_load,
110
input                       i_user_mode_regs_store_nxt,
111
input                       i_firq_not_user_mode,
112
 
113
input                       i_write_data_wen,
114
input                       i_base_address_wen,     // save LDM base address register, 
115
                                                    // in case of data abort
116
input                       i_pc_wen,
117
input      [14:0]           i_reg_bank_wen,
118
input                       i_status_bits_flags_wen,
119
input                       i_status_bits_mode_wen,
120
input                       i_status_bits_irq_mask_wen,
121
input                       i_status_bits_firq_mask_wen,
122
input                       i_copro_write_data_wen,
123 20 csantifort
input                       i_conflict,
124
input                       i_rn_use_read,
125
input                       i_rm_use_read,
126
input                       i_rs_use_read,
127
input                       i_rd_use_read
128 16 csantifort
);
129
 
130 82 csantifort
`include "a25_localparams.vh"
131
`include "a25_functions.vh"
132 16 csantifort
 
133
// ========================================================
134
// Internal signals
135
// ========================================================
136
wire [31:0]         write_data_nxt;
137
wire [3:0]          byte_enable_nxt;
138
wire [31:0]         pc_plus4;
139
wire [31:0]         pc_minus4;
140
wire [31:0]         daddress_plus4;
141
wire [31:0]         alu_plus4;
142
wire [31:0]         rn_plus4;
143
wire [31:0]         alu_out;
144
wire [3:0]          alu_flags;
145
wire [31:0]         rm;
146
wire [31:0]         rs;
147
wire [31:0]         rd;
148
wire [31:0]         rn;
149 20 csantifort
wire [31:0]         reg_bank_rn;
150
wire [31:0]         reg_bank_rm;
151
wire [31:0]         reg_bank_rs;
152
wire [31:0]         reg_bank_rd;
153 16 csantifort
wire [31:0]         pc;
154
wire [31:0]         pc_nxt;
155
wire [31:0]         interrupt_vector;
156
wire [7:0]          shift_amount;
157
wire [31:0]         barrel_shift_in;
158
wire [31:0]         barrel_shift_out;
159
wire                barrel_shift_carry;
160 35 csantifort
wire                barrel_shift_stall;
161 16 csantifort
 
162
wire [3:0]          status_bits_flags_nxt;
163
reg  [3:0]          status_bits_flags = 'd0;
164
wire [1:0]          status_bits_mode_nxt;
165
reg  [1:0]          status_bits_mode = SVC;
166
                    // one-hot encoded rs select
167
wire [3:0]          status_bits_mode_rds_oh_nxt;
168
reg  [3:0]          status_bits_mode_rds_oh = 1'd1 << OH_SVC;
169
wire                status_bits_mode_rds_oh_update;
170
wire                status_bits_irq_mask_nxt;
171
reg                 status_bits_irq_mask = 1'd1;
172
wire                status_bits_firq_mask_nxt;
173
reg                 status_bits_firq_mask = 1'd1;
174 35 csantifort
wire [8:0]          exec_load_rd_nxt;
175 16 csantifort
 
176
wire                execute;                    // high when condition execution is true
177
wire [31:0]         reg_write_nxt;
178
wire                pc_wen;
179
wire [14:0]         reg_bank_wen;
180
wire [31:0]         multiply_out;
181
wire [1:0]          multiply_flags;
182
reg  [31:0]         base_address = 'd0;             // Saves base address during LDM instruction in 
183
                                                    // case of data abort
184
wire [31:0]         read_data_filtered1;
185
wire [31:0]         read_data_filtered;
186 20 csantifort
wire [31:0]         read_data_filtered_c;
187
reg  [31:0]         read_data_filtered_r = 'd0;
188
reg  [3:0]          load_rd_r = 'd0;
189
wire [3:0]          load_rd_c;
190 16 csantifort
 
191
wire                write_enable_nxt;
192
wire                daddress_valid_nxt;
193
wire                iaddress_valid_nxt;
194
wire                priviledged_nxt;
195
wire                priviledged_update;
196
wire                iaddress_update;
197
wire                daddress_update;
198
wire                base_address_update;
199
wire                write_data_update;
200
wire                copro_write_data_update;
201
wire                byte_enable_update;
202
wire                exec_load_rd_update;
203
wire                write_enable_update;
204
wire                exclusive_update;
205
wire                status_bits_flags_update;
206
wire                status_bits_mode_update;
207
wire                status_bits_irq_mask_update;
208
wire                status_bits_firq_mask_update;
209
 
210
wire [31:0]         alu_out_pc_filtered;
211
wire                adex_nxt;
212
wire [31:0]         save_int_pc;
213
wire [31:0]         save_int_pc_m4;
214
wire                ldm_flags;
215
wire                ldm_status_bits;
216
 
217
// ========================================================
218
// Status Bits in PC register
219
// ========================================================
220 54 csantifort
wire [1:0] status_bits_mode_out;
221
assign status_bits_mode_out = (i_status_bits_mode_wen && i_status_bits_sel == 3'd1 && !ldm_status_bits) ?
222
                                    alu_out[1:0] : status_bits_mode ;
223
 
224 16 csantifort
assign o_status_bits = {   status_bits_flags,           // 31:28
225
                           status_bits_irq_mask,        // 7
226
                           status_bits_firq_mask,       // 6
227
                           24'd0,
228 54 csantifort
                           status_bits_mode_out };      // 1:0 = mode
229 16 csantifort
 
230
 
231
// ========================================================
232
// Status Bits Select
233
// ========================================================
234 35 csantifort
assign ldm_flags                 = i_wb_read_data_valid & ~i_mem_stall & i_wb_load_rd[8];
235
assign ldm_status_bits           = i_wb_read_data_valid & ~i_mem_stall & i_wb_load_rd[7];
236 16 csantifort
 
237
 
238
assign status_bits_flags_nxt     = ldm_flags                 ? read_data_filtered[31:28]           :
239
                                   i_status_bits_sel == 3'd0 ? alu_flags                           :
240
                                   i_status_bits_sel == 3'd1 ? alu_out          [31:28]            :
241
                                   i_status_bits_sel == 3'd3 ? i_copro_read_data[31:28]            :
242
                                   // 4 = update flags after a multiply operation
243 82 csantifort
                                   i_status_bits_sel == 3'd4 ? { multiply_flags, status_bits_flags[1:0] } :
244
                                   // regops that do not change the overflow flag
245
                                   i_status_bits_sel == 3'd5 ? { alu_flags[3:1], status_bits_flags[0] } :
246
                                                               4'b1111 ;
247 16 csantifort
 
248
assign status_bits_mode_nxt      = ldm_status_bits           ? read_data_filtered [1:0] :
249
                                   i_status_bits_sel == 3'd0 ? i_status_bits_mode       :
250 82 csantifort
                                   i_status_bits_sel == 3'd5 ? i_status_bits_mode       :
251 16 csantifort
                                   i_status_bits_sel == 3'd1 ? alu_out            [1:0] :
252
                                                               i_copro_read_data  [1:0] ;
253
 
254
 
255
// Used for the Rds output of register_bank - this special version of
256
// status_bits_mode speeds up the critical path from status_bits_mode through the
257
// register_bank, barrel_shifter and alu. It moves a mux needed for the
258
// i_user_mode_regs_store_nxt signal back into the previous stage -
259
// so its really part of the decode stage even though the logic is right here
260
// In addition the signal is one-hot encoded to further speed up the logic
261
 
262
assign status_bits_mode_rds_oh_nxt    = i_user_mode_regs_store_nxt ? 1'd1 << OH_USR                            :
263
                                        status_bits_mode_update    ? oh_status_bits_mode(status_bits_mode_nxt) :
264
                                                                     oh_status_bits_mode(status_bits_mode)     ;
265
 
266
 
267
assign status_bits_irq_mask_nxt  = ldm_status_bits           ? read_data_filtered     [27] :
268
                                   i_status_bits_sel == 3'd0 ? i_status_bits_irq_mask      :
269 82 csantifort
                                   i_status_bits_sel == 3'd5 ? i_status_bits_irq_mask      :
270 16 csantifort
                                   i_status_bits_sel == 3'd1 ? alu_out                [27] :
271
                                                               i_copro_read_data      [27] ;
272
 
273
assign status_bits_firq_mask_nxt = ldm_status_bits           ? read_data_filtered     [26] :
274
                                   i_status_bits_sel == 3'd0 ? i_status_bits_firq_mask     :
275 82 csantifort
                                   i_status_bits_sel == 3'd5 ? i_status_bits_firq_mask     :
276 16 csantifort
                                   i_status_bits_sel == 3'd1 ? alu_out                [26] :
277
                                                               i_copro_read_data      [26] ;
278
 
279
 
280
 
281
// ========================================================
282
// Adders
283
// ========================================================
284
assign pc_plus4       = pc         + 32'd4;
285
assign pc_minus4      = pc         - 32'd4;
286
assign daddress_plus4 = o_daddress + 32'd4;
287
assign alu_plus4      = alu_out    + 32'd4;
288
assign rn_plus4       = rn         + 32'd4;
289
 
290
// ========================================================
291
// Barrel Shift Amount Select
292
// ========================================================
293
// An immediate shift value of 0 is translated into 32
294
assign shift_amount = i_barrel_shift_amount_sel == 2'd0 ? 8'd0                         :
295
                      i_barrel_shift_amount_sel == 2'd1 ? rs[7:0]                      :
296
                                                          {3'd0, i_imm_shift_amount  } ;
297
 
298
 
299
// ========================================================
300
// Barrel Shift Data Select
301
// ========================================================
302
assign barrel_shift_in = i_barrel_shift_data_sel == 2'd0 ? i_imm32 : rm ;
303
 
304
 
305
// ========================================================
306
// Interrupt vector Select
307
// ========================================================
308
 
309
assign interrupt_vector = // Reset vector
310
                          (i_interrupt_vector_sel == 3'd0) ? 32'h00000000 :
311
                          // Data abort interrupt vector                 
312
                          (i_interrupt_vector_sel == 3'd1) ? 32'h00000010 :
313
                          // Fast interrupt vector  
314
                          (i_interrupt_vector_sel == 3'd2) ? 32'h0000001c :
315
                          // Regular interrupt vector
316
                          (i_interrupt_vector_sel == 3'd3) ? 32'h00000018 :
317
                          // Prefetch abort interrupt vector
318
                          (i_interrupt_vector_sel == 3'd5) ? 32'h0000000c :
319
                          // Undefined instruction interrupt vector
320
                          (i_interrupt_vector_sel == 3'd6) ? 32'h00000004 :
321
                          // Software (SWI) interrupt vector
322
                          (i_interrupt_vector_sel == 3'd7) ? 32'h00000008 :
323
                          // Default is the address exception interrupt
324
                                                             32'h00000014 ;
325
 
326
 
327
// ========================================================
328
// Address Select
329
// ========================================================
330
assign pc_dmem_wen    = i_wb_read_data_valid & ~i_mem_stall & i_wb_load_rd[3:0] == 4'd15;
331
 
332
// If rd is the pc, then seperate the address bits from the status bits for
333
// generating the next address to fetch
334
assign alu_out_pc_filtered = pc_wen && i_pc_sel == 3'd1 ? pcf(alu_out) : alu_out;
335
 
336
// if current instruction does not execute because it does not meet the condition
337
// then address advances to next instruction
338
assign o_iaddress_nxt = (pc_dmem_wen)            ? pcf(read_data_filtered) :
339
                        (!execute)               ? pc_plus4                :
340
                        (i_iaddress_sel == 4'd0) ? pc_plus4                :
341
                        (i_iaddress_sel == 4'd1) ? alu_out_pc_filtered     :
342
                        (i_iaddress_sel == 4'd2) ? interrupt_vector        :
343
                                                   pc                      ;
344
 
345
 
346
 
347
// if current instruction does not execute because it does not meet the condition
348
// then address advances to next instruction
349
assign o_daddress_nxt = (i_daddress_sel == 4'd1) ? alu_out_pc_filtered   :
350
                        (i_daddress_sel == 4'd2) ? interrupt_vector      :
351
                        (i_daddress_sel == 4'd4) ? rn                    :
352
                        (i_daddress_sel == 4'd5) ? daddress_plus4        :  // MTRANS address incrementer
353
                        (i_daddress_sel == 4'd6) ? alu_plus4             :  // MTRANS decrement after
354
                                                   rn_plus4              ;  // MTRANS increment before
355
 
356
// Data accesses use 32-bit address space, but instruction
357
// accesses are restricted to 26 bit space
358
assign adex_nxt      = |o_iaddress_nxt[31:26] && i_decode_iaccess;
359
 
360
 
361
// ========================================================
362
// Filter Read Data
363
// ========================================================
364 35 csantifort
// mem_load_rd[10:9]-> shift ROR bytes
365
// mem_load_rd[8]   -> load flags with PC
366
// mem_load_rd[7]   -> load status bits with PC
367
// mem_load_rd[6:5] -> Write into this Mode registers
368 16 csantifort
// mem_load_rd[4]   -> zero_extend byte
369
// mem_load_rd[3:0] -> Destination Register 
370 53 csantifort
assign read_data_filtered1 = i_wb_load_rd[10:9] == 2'd0 ? i_wb_read_data                                :
371
                             i_wb_load_rd[10:9] == 2'd1 ? {i_wb_read_data[7:0],  i_wb_read_data[31:8]}  :
372
                             i_wb_load_rd[10:9] == 2'd2 ? {i_wb_read_data[15:0], i_wb_read_data[31:16]} :
373
                                                          {i_wb_read_data[23:0], i_wb_read_data[31:24]} ;
374 16 csantifort
 
375
assign read_data_filtered  = i_wb_load_rd[4] ? {24'd0, read_data_filtered1[7:0]} : read_data_filtered1 ;
376
 
377
 
378
// ========================================================
379
// Program Counter Select
380
// ========================================================
381
// If current instruction does not execute because it does not meet the condition
382
// then PC advances to next instruction
383
assign pc_nxt = (!execute)       ? pc_plus4                :
384
                i_pc_sel == 3'd0 ? pc_plus4                :
385
                i_pc_sel == 3'd1 ? alu_out                 :
386
                i_pc_sel == 3'd2 ? interrupt_vector        :
387
                i_pc_sel == 3'd3 ? pcf(read_data_filtered) :
388
                                   pc_minus4               ;
389
 
390
 
391
// ========================================================
392
// Register Write Select
393
// ========================================================
394
 
395
assign save_int_pc    = { status_bits_flags,
396
                          status_bits_irq_mask,
397
                          status_bits_firq_mask,
398
                          pc[25:2],
399
                          status_bits_mode      };
400
 
401
 
402
assign save_int_pc_m4 = { status_bits_flags,
403
                          status_bits_irq_mask,
404
                          status_bits_firq_mask,
405
                          pc_minus4[25:2],
406
                          status_bits_mode      };
407
 
408
 
409
assign reg_write_nxt = i_reg_write_sel == 3'd0 ? alu_out               :
410
                       // save pc to lr on an interrupt                    
411
                       i_reg_write_sel == 3'd1 ? save_int_pc_m4        :
412
                       // to update Rd at the end of Multiplication
413
                       i_reg_write_sel == 3'd2 ? multiply_out          :
414
                       i_reg_write_sel == 3'd3 ? o_status_bits         :
415
                       i_reg_write_sel == 3'd5 ? i_copro_read_data     :  // mrc
416
                       i_reg_write_sel == 3'd6 ? base_address          :
417
                                                 save_int_pc           ;
418
 
419
 
420
// ========================================================
421
// Byte Enable Select
422
// ========================================================
423
assign byte_enable_nxt = i_byte_enable_sel == 2'd0   ? 4'b1111 :  // word write
424
                         i_byte_enable_sel == 2'd2   ?            // halfword write
425
                         ( o_daddress_nxt[1] == 1'd0 ? 4'b0011 :
426
                                                       4'b1100  ) :
427
 
428
                         o_daddress_nxt[1:0] == 2'd0 ? 4'b0001 :  // byte write
429
                         o_daddress_nxt[1:0] == 2'd1 ? 4'b0010 :
430
                         o_daddress_nxt[1:0] == 2'd2 ? 4'b0100 :
431
                                                       4'b1000 ;
432
 
433
 
434
// ========================================================
435
// Write Data Select
436
// ========================================================
437
assign write_data_nxt = i_byte_enable_sel == 2'd0 ? rd            :
438
                                                    {4{rd[ 7:0]}} ;
439
 
440
 
441
// ========================================================
442
// Conditional Execution
443
// ========================================================
444
assign execute = conditional_execute ( i_condition, status_bits_flags );
445
 
446
// allow the PC to increment to the next instruction when current
447
// instruction does not execute
448
assign pc_wen       = (i_pc_wen || !execute) && !i_conflict;
449
 
450
// only update register bank if current instruction executes
451
assign reg_bank_wen = {{15{execute}} & i_reg_bank_wen};
452
 
453
 
454
// ========================================================
455
// Priviledged output flag
456
// ========================================================
457
// Need to look at status_bits_mode_nxt so switch to priviledged mode
458
// at the same time as assert interrupt vector address
459
assign priviledged_nxt  = ( i_status_bits_mode_wen ? status_bits_mode_nxt : status_bits_mode ) != USR ;
460
 
461
 
462
// ========================================================
463
// Write Enable
464
// ========================================================
465
// This must be de-asserted when execute is fault
466
assign write_enable_nxt = execute && i_write_data_wen;
467
 
468
 
469
// ========================================================
470
// Address Valid
471
// ========================================================
472 35 csantifort
assign daddress_valid_nxt = execute && i_decode_daccess && !i_core_stall;
473 16 csantifort
 
474 20 csantifort
// For some multi-cycle instructions, the stream of instrution
475
// reads can be paused. However if the instruction does not execute
476
// then the read stream must not be interrupted.
477
assign iaddress_valid_nxt = i_decode_iaccess || !execute;
478 16 csantifort
 
479 20 csantifort
 
480 16 csantifort
// ========================================================
481 20 csantifort
// Use read value from data memory instead of from register
482
// ========================================================
483
assign rn = i_rn_use_read && i_rn_sel == load_rd_c ? read_data_filtered_c : reg_bank_rn;
484
assign rm = i_rm_use_read && i_rm_sel == load_rd_c ? read_data_filtered_c : reg_bank_rm;
485
assign rs = i_rs_use_read && i_rs_sel == load_rd_c ? read_data_filtered_c : reg_bank_rs;
486
assign rd = i_rd_use_read && i_rs_sel == load_rd_c ? read_data_filtered_c : reg_bank_rd;
487
 
488
 
489
always@( posedge i_clk )
490
    if ( i_wb_read_data_valid )
491
        begin
492
        read_data_filtered_r <= read_data_filtered;
493
        load_rd_r            <= i_wb_load_rd[3:0];
494
        end
495
 
496
assign read_data_filtered_c = i_wb_read_data_valid ? read_data_filtered : read_data_filtered_r;
497
assign load_rd_c            = i_wb_read_data_valid ? i_wb_load_rd[3:0]  : load_rd_r;
498
 
499
 
500
// ========================================================
501 35 csantifort
// Set mode for the destination registers of a mem read
502
// ========================================================
503
// The mode is either user mode, or the current mode
504
assign  exec_load_rd_nxt   = { i_decode_load_rd[7:6],
505
                               i_decode_load_rd[5] ? USR : status_bits_mode,  // 1 bit -> 2 bits
506
                               i_decode_load_rd[4:0] };
507
 
508
 
509
// ========================================================
510 16 csantifort
// Register Update
511
// ========================================================
512 35 csantifort
assign o_exec_stall                    = barrel_shift_stall;
513 16 csantifort
 
514 35 csantifort
assign daddress_update                 = !i_core_stall;
515
assign exec_load_rd_update             = !i_core_stall && execute;
516
assign priviledged_update              = !i_core_stall;
517
assign exclusive_update                = !i_core_stall && execute;
518
assign write_enable_update             = !i_core_stall;
519
assign write_data_update               = !i_core_stall && execute && i_write_data_wen;
520
assign byte_enable_update              = !i_core_stall && execute && i_write_data_wen;
521 16 csantifort
 
522 35 csantifort
assign iaddress_update                 = pc_dmem_wen || (!i_core_stall && !i_conflict);
523
assign copro_write_data_update         = !i_core_stall && execute && i_copro_write_data_wen;
524 16 csantifort
 
525 35 csantifort
assign base_address_update             = !i_core_stall && execute && i_base_address_wen;
526
assign status_bits_flags_update        = ldm_flags       || (!i_core_stall && execute && i_status_bits_flags_wen);
527
assign status_bits_mode_update         = ldm_status_bits || (!i_core_stall && execute && i_status_bits_mode_wen);
528
assign status_bits_mode_rds_oh_update  = !i_core_stall;
529
assign status_bits_irq_mask_update     = ldm_status_bits || (!i_core_stall && execute && i_status_bits_irq_mask_wen);
530
assign status_bits_firq_mask_update    = ldm_status_bits || (!i_core_stall && execute && i_status_bits_firq_mask_wen);
531 16 csantifort
 
532
 
533
always @( posedge i_clk )
534
    begin
535
    o_daddress              <= daddress_update                ? o_daddress_nxt               : o_daddress;
536
    o_daddress_valid        <= daddress_update                ? daddress_valid_nxt           : o_daddress_valid;
537 35 csantifort
    o_exec_load_rd          <= exec_load_rd_update            ? exec_load_rd_nxt             : o_exec_load_rd;
538 16 csantifort
    o_priviledged           <= priviledged_update             ? priviledged_nxt              : o_priviledged;
539
    o_exclusive             <= exclusive_update               ? i_decode_exclusive           : o_exclusive;
540
    o_write_enable          <= write_enable_update            ? write_enable_nxt             : o_write_enable;
541
    o_write_data            <= write_data_update              ? write_data_nxt               : o_write_data;
542
    o_byte_enable           <= byte_enable_update             ? byte_enable_nxt              : o_byte_enable;
543
    o_iaddress              <= iaddress_update                ? o_iaddress_nxt               : o_iaddress;
544
    o_iaddress_valid        <= iaddress_update                ? iaddress_valid_nxt           : o_iaddress_valid;
545
    o_adex                  <= iaddress_update                ? adex_nxt                     : o_adex;
546
    o_copro_write_data      <= copro_write_data_update        ? write_data_nxt               : o_copro_write_data;
547
 
548
    base_address            <= base_address_update            ? rn                           : base_address;
549
 
550
    status_bits_flags       <= status_bits_flags_update       ? status_bits_flags_nxt        : status_bits_flags;
551
    status_bits_mode        <= status_bits_mode_update        ? status_bits_mode_nxt         : status_bits_mode;
552
    status_bits_mode_rds_oh <= status_bits_mode_rds_oh_update ? status_bits_mode_rds_oh_nxt  : status_bits_mode_rds_oh;
553
    status_bits_irq_mask    <= status_bits_irq_mask_update    ? status_bits_irq_mask_nxt     : status_bits_irq_mask;
554
    status_bits_firq_mask   <= status_bits_firq_mask_update   ? status_bits_firq_mask_nxt    : status_bits_firq_mask;
555
    end
556
 
557 35 csantifort
 
558 16 csantifort
// ========================================================
559
// Instantiate Barrel Shift
560
// ========================================================
561
a25_barrel_shift u_barrel_shift  (
562 35 csantifort
    .i_clk            ( i_clk                     ),
563 16 csantifort
    .i_in             ( barrel_shift_in           ),
564
    .i_carry_in       ( status_bits_flags[1]      ),
565
    .i_shift_amount   ( shift_amount              ),
566
    .i_shift_imm_zero ( i_shift_imm_zero          ),
567
    .i_function       ( i_barrel_shift_function   ),
568
 
569
    .o_out            ( barrel_shift_out          ),
570 35 csantifort
    .o_carry_out      ( barrel_shift_carry        ),
571
    .o_stall          ( barrel_shift_stall        )
572 16 csantifort
);
573
 
574
 
575
// ========================================================
576
// Instantiate ALU
577
// ========================================================
578
a25_alu u_alu (
579
    .i_a_in                 ( rn                    ),
580
    .i_b_in                 ( barrel_shift_out      ),
581
    .i_barrel_shift_carry   ( barrel_shift_carry    ),
582
    .i_status_bits_carry    ( status_bits_flags[1]  ),
583
    .i_function             ( i_alu_function        ),
584
 
585
    .o_out                  ( alu_out               ),
586
    .o_flags                ( alu_flags             )
587
);
588
 
589
 
590
// ========================================================
591
// Instantiate Booth 64-bit Multiplier-Accumulator
592
// ========================================================
593
a25_multiply u_multiply (
594
    .i_clk          ( i_clk                 ),
595 35 csantifort
    .i_core_stall   ( i_core_stall          ),
596 16 csantifort
    .i_a_in         ( rs                    ),
597
    .i_b_in         ( rm                    ),
598
    .i_function     ( i_multiply_function   ),
599
    .i_execute      ( execute               ),
600
    .o_out          ( multiply_out          ),
601
    .o_flags        ( multiply_flags        ),  // [1] = N, [0] = Z
602
    .o_done         ( o_multiply_done       )
603
);
604
 
605
 
606
// ========================================================
607
// Instantiate Register Bank
608
// ========================================================
609
a25_register_bank u_register_bank(
610
    .i_clk                   ( i_clk                     ),
611 35 csantifort
    .i_core_stall            ( i_core_stall              ),
612 16 csantifort
    .i_mem_stall             ( i_mem_stall               ),
613
    .i_rm_sel                ( i_rm_sel                  ),
614
    .i_rs_sel                ( i_rs_sel                  ),
615
    .i_rn_sel                ( i_rn_sel                  ),
616
    .i_pc_wen                ( pc_wen                    ),
617
    .i_reg_bank_wen          ( reg_bank_wen              ),
618
    .i_pc                    ( pc_nxt[25:2]              ),
619
    .i_reg                   ( reg_write_nxt             ),
620
    .i_mode_idec             ( i_status_bits_mode        ),
621
    .i_mode_exec             ( status_bits_mode          ),
622
 
623
    .i_wb_read_data          ( read_data_filtered        ),
624
    .i_wb_read_data_valid    ( i_wb_read_data_valid      ),
625
    .i_wb_read_data_rd       ( i_wb_load_rd[3:0]         ),
626 35 csantifort
    .i_wb_mode               ( i_wb_load_rd[6:5]         ),
627 16 csantifort
 
628
    .i_status_bits_flags     ( status_bits_flags         ),
629
    .i_status_bits_irq_mask  ( status_bits_irq_mask      ),
630
    .i_status_bits_firq_mask ( status_bits_firq_mask     ),
631
 
632
    // pre-encoded in decode stage to speed up long path
633
    .i_firq_not_user_mode    ( i_firq_not_user_mode      ),
634
 
635
    // use one-hot version for speed, combine with i_user_mode_regs_store
636
    .i_mode_rds_exec         ( status_bits_mode_rds_oh   ),
637
 
638 20 csantifort
    .o_rm                    ( reg_bank_rm               ),
639
    .o_rs                    ( reg_bank_rs               ),
640
    .o_rd                    ( reg_bank_rd               ),
641
    .o_rn                    ( reg_bank_rn               ),
642 16 csantifort
    .o_pc                    ( pc                        )
643
);
644
 
645
 
646 20 csantifort
 
647 16 csantifort
// ========================================================
648
// Debug - non-synthesizable code
649
// ========================================================
650
//synopsys translate_off
651
 
652
wire    [(2*8)-1:0]    xCONDITION;
653
wire    [(4*8)-1:0]    xMODE;
654
 
655
assign  xCONDITION           = i_condition == EQ ? "EQ"  :
656
                               i_condition == NE ? "NE"  :
657
                               i_condition == CS ? "CS"  :
658
                               i_condition == CC ? "CC"  :
659
                               i_condition == MI ? "MI"  :
660
                               i_condition == PL ? "PL"  :
661
                               i_condition == VS ? "VS"  :
662
                               i_condition == VC ? "VC"  :
663
                               i_condition == HI ? "HI"  :
664
                               i_condition == LS ? "LS"  :
665
                               i_condition == GE ? "GE"  :
666
                               i_condition == LT ? "LT"  :
667
                               i_condition == GT ? "GT"  :
668
                               i_condition == LE ? "LE"  :
669
                               i_condition == AL ? "AL"  :
670
                                                   "NV " ;
671
 
672
assign  xMODE  =  status_bits_mode == SVC  ? "SVC"  :
673
                  status_bits_mode == IRQ  ? "IRQ"  :
674
                  status_bits_mode == FIRQ ? "FIRQ" :
675
                  status_bits_mode == USR  ? "USR"  :
676
                                             "XXX"  ;
677
 
678
 
679
//synopsys translate_on
680
 
681
endmodule
682
 
683
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.