OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [Integration_test/] [Altera/] [run.sh] - Blame information for rev 56

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 56 alirezamon
#!/bin/bash
2
 
3
SCRPT_FULL_PATH=$(realpath ${BASH_SOURCE[0]})
4
SCRPT_DIR_PATH=$(dirname $SCRPT_FULL_PATH)
5
 
6
 
7
 
8
 
9
work="${PRONOC_WORK}/verify/quartus_pronoc"
10
top="quartus_pronoc"
11
 
12
 
13
 
14
 
15
 
16
 
17
 
18
 
19
 
20
copy_filelist () {
21
        fname=$1
22
        local DIR="$(dirname "${fname}")"
23
 
24
 
25
        echo $DIR
26
        pwd
27
 
28
 
29
        while read line; do
30
                # reading each line
31
                #echo $line
32
        cd $DIR
33
 
34
                if test -f "$DIR/$line"; then
35
                        echo "copy $DIR/$line "
36
                        cp "$DIR/$line"   $PITON_ROOT/build/src_verilog/
37
                fi
38
                line="$(echo -e "${line}" | sed -e 's/^[[:space:]]*//')"   # remove only the leading white spaces
39
                if [[ $line == -F* ]] || [[ $line == -f* ]] ; then
40
                        line=${line:2}   # Remove the first three chars (leaving 4..end)
41
                        line="$(echo -e "${line}" | sed -e 's/^[[:space:]]*//')"   # remove only the leading white spaces
42
                        echo $line
43
                        echo "got another file list $line"
44
                        copy_filelist "$DIR/$line"
45
                fi
46
        done < $fname
47
}
48
 
49
 
50
 
51
 
52
 
53
 
54
make_qsf () {
55
        fname=$1
56
        oname=$2
57
        local DIR="$(dirname "${fname}")"
58
 
59
 
60
        echo $oname
61
 
62
        pwd
63
 
64
 
65
        while read line; do
66
                # reading each line
67
                #echo $line
68
        cd $DIR
69
 
70
 
71
                if test -f "$DIR/$line"; then
72
                        echo "set_global_assignment -name SYSTEMVERILOG_FILE $DIR/$line">>"$oname"
73
                        # "$DIR/$line"   $PITON_ROOT/build/src_verilog/
74
                fi
75
                line="$(echo -e "${line}" | sed -e 's/^[[:space:]]*//')"   # remove only the leading white spaces
76
                if [[ $line == -F* ]] || [[ $line == -f* ]] ; then
77
                        line=${line:2}   # Remove the first three chars (leaving 4..end)
78
                        line="$(echo -e "${line}" | sed -e 's/^[[:space:]]*//')"   # remove only the leading white spaces
79
                        #echo $line
80
                        echo "got another file list $line"
81
                        make_qsf "$DIR/$line" "$oname"
82
                fi
83
 
84
                if [[ $line == +incdir+* ]] ; then
85
                        line=${line:8}   # Remove the first three chars (leaving 4..end)
86
                        echo "set_global_assignment -name SEARCH_PATH $DIR/$line">>"$oname"
87
                fi
88
 
89
        done < $fname
90
}
91
 
92
 
93
compile () {
94
 
95
        mkdir -p  $work
96
        filename=$SCRPT_DIR_PATH/src/file_list.f
97
        qsf_name="$work/pronoc.qsf"
98
        cp -f $SCRPT_DIR_PATH/src/pronoc.qsf $qsf_name
99
 
100
        echo "set_global_assignment -name TOP_LEVEL_ENTITY $top">>$qsf_name
101
        make_qsf $filename "$qsf_name"
102
 
103
 
104
 
105
 
106
        if [[ -z "${Quartus_bin}" ]]; then
107
          #"Some default value because Quartus_bin is undefined"
108
          Quartus_bin="/home/alireza/intelFPGA_lite/18.1/quartus/bin"
109
        else
110
          Quartus_bin="${Quartus_bin}"
111
        fi
112
 
113
        cd $work
114
        $Quartus_bin/quartus_map --64bit pronoc --read_settings_files=on
115
        $Quartus_bin/quartus_fit --64bit pronoc --read_settings_files=on
116
        $Quartus_bin/quartus_asm --64bit pronoc --read_settings_files=on
117
        $Quartus_bin/quartus_sta --64bit pronoc
118
 
119
}
120
 
121
 
122
compile
123
 
124
wait;
125
 
126
perl ${SCRPT_DIR_PATH}/src/extract.prl "$PRONOC_WORK/verify/quartus_pronoc" "pronoc" > report
127
 
128
 
129
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.