OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_synfull/] [dpi_int_pkg.sv] - Blame information for rev 56

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 56 alirezamon
`include "pronoc_def.v"
2 54 alirezamon
 
3
 
4 56 alirezamon
 
5 54 alirezamon
package dpi_int_pkg;
6
 
7 56 alirezamon
parameter NOC_ID=0;
8 54 alirezamon
 
9 56 alirezamon
`NOC_CONF
10
 
11 54 alirezamon
 typedef struct packed {
12 56 alirezamon
     logic [NEw-1 : 0] dest  ;
13
     logic [PCK_SIZw-1 : 0] size  ;
14
     logic [NEw-1 : 0] src   ;
15 54 alirezamon
     logic [31:0]      id    ;
16
     logic             valid ;
17
 } req_t;
18
 
19
 typedef struct packed {
20
     logic [31:0]      id    ;
21
     logic             valid ;
22
 } deliver_t;
23
 
24
endpackage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.