OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_topolgy/] [common/] [custom_lkh_routing.v] - Blame information for rev 56

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
`timescale 1ns / 1ps
2
 
3
module custom_lkh_routing  #(
4
        parameter TOPOLOGY = "CUSTOM_NAME",
5 56 alirezamon
    parameter ROUTE_NAME = "CUSTOM_NAME",
6 48 alirezamon
        parameter ROUTE_TYPE = "DETERMINISTIC",
7
        parameter RAw = 3,
8
        parameter EAw = 3,
9
        parameter DSTPw=4
10
)
11
(
12
        current_r_addr,
13
        dest_e_addr,
14
        src_e_addr,
15
        destport,
16
        reset,
17
        clk
18
);
19
 
20
        input   [RAw-1   :0] current_r_addr;
21
        input   [EAw-1   :0] dest_e_addr;
22
        input   [EAw-1   :0] src_e_addr;
23
        output  [DSTPw-1 :0] destport;
24
        input reset,clk;
25
 
26
    generate
27
 
28 56 alirezamon
 
29 48 alirezamon
 
30
        //do not modify this line ===Tcustom1Rcustom===
31
    if(TOPOLOGY == "custom1" && ROUTE_NAME== "custom" ) begin : Tcustom1Rcustom
32
 
33
           Tcustom1Rcustom_look_ahead_routing  #(
34
            .RAw(RAw),
35
            .EAw(EAw),
36
            .DSTPw(DSTPw)
37
        )
38
        the_lkh_routing
39
        (
40
            .current_r_addr(current_r_addr),
41
            .dest_e_addr(dest_e_addr),
42
            .src_e_addr(src_e_addr),
43
            .destport(destport),
44
            .reset(reset),
45
            .clk(clk)
46
        );
47
 
48
    end
49
 
50
    endgenerate
51
 
52
 
53
 
54
 
55
 
56
 
57
 
58
 
59
 
60
 
61
 
62
 
63 54 alirezamon
 
64
 
65
 
66
 
67
 
68
 
69 56 alirezamon
 
70
 
71
 
72
 
73
 
74
 
75 48 alirezamon
 
76
 
77
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.