OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_topolgy/] [custom1/] [Tcustom1Rcustom_conventional_routing_genvar.v] - Blame information for rev 56

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
 
2
/**************************************************************************
3
**      WARNING: THIS IS AN AUTO-GENERATED FILE. CHANGES TO IT ARE LIKELY TO BE
4
**      OVERWRITTEN AND LOST. Rename this file if you wish to do any modification.
5
****************************************************************************/
6
 
7
 
8
/**********************************************************************
9 56 alirezamon
**      File: /home/alireza/work/git/pronoc/mpsoc/rtl/src_topolgy/custom1/Tcustom1Rcustom_conventional_routing_genvar.v
10 48 alirezamon
**
11 54 alirezamon
**      Copyright (C) 2014-2021  Alireza Monemi
12 48 alirezamon
**
13 56 alirezamon
**      This file is part of ProNoC 2.1.0
14 48 alirezamon
**
15
**      ProNoC ( stands for Prototype Network-on-chip)  is free software:
16
**      you can redistribute it and/or modify it under the terms of the GNU
17
**      Lesser General Public License as published by the Free Software Foundation,
18
**      either version 2 of the License, or (at your option) any later version.
19
**
20
**      ProNoC is distributed in the hope that it will be useful, but WITHOUT
21
**      ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
22
**      or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser General
23
**      Public License for more details.
24
**
25
**      You should have received a copy of the GNU Lesser General Public
26
**      License along with ProNoC. If not, see <http:**www.gnu.org/licenses/>.
27
******************************************************************************/
28
module Tcustom1Rcustom_conventional_routing_genvar  #(
29
        parameter RAw = 3,
30
        parameter EAw = 3,
31
        parameter DSTPw=4,
32
        parameter SRC_E_ADDR=0
33
)
34
(
35
        dest_e_addr,
36
        destport
37
);
38
 
39
        input   [EAw-1   :0] dest_e_addr;
40
        output reg [DSTPw-1 :0] destport;
41
 
42
 
43
        generate
44
 
45
        if(SRC_E_ADDR == 0) begin : SRC0
46
                always@(*)begin
47
                        destport= 0;
48
                        case(dest_e_addr)
49
                        1,2,3,7,10: begin
50
                                destport= 1;
51
                        end
52
                        4,5,6,8,9,11,12,13,14,15: begin
53
                                destport= 2;
54
                        end
55
                        default: begin
56
                                destport= {DSTPw{1'bX}};
57
                        end
58
 
59
                        endcase
60
                end
61
        end//SRC0
62
 
63
        if(SRC_E_ADDR == 1) begin : SRC1
64
                always@(*)begin
65
                        destport= 0;
66
                        case(dest_e_addr)
67
                        0,4,7,8,9,10,12,15: begin
68
                                destport= 1;
69
                        end
70
                        2,3,5,6,11,13,14: begin
71
                                destport= 2;
72
                        end
73
                        default: begin
74
                                destport= {DSTPw{1'bX}};
75
                        end
76
 
77
                        endcase
78
                end
79
        end//SRC1
80
 
81
        if(SRC_E_ADDR == 2) begin : SRC2
82
                always@(*)begin
83
                        destport= 0;
84
                        case(dest_e_addr)
85
                        3,4,5,6,8,11,13,14,15: begin
86
                                destport= 1;
87
                        end
88
                        0,1,7,9,10,12: begin
89
                                destport= 2;
90
                        end
91
                        default: begin
92
                                destport= {DSTPw{1'bX}};
93
                        end
94
 
95
                        endcase
96
                end
97
        end//SRC2
98
 
99
        if(SRC_E_ADDR == 3) begin : SRC3
100
                always@(*)begin
101
                        destport= 0;
102
                        case(dest_e_addr)
103
                        2,10,11,12: begin
104
                                destport= 1;
105
                        end
106
                        0,1,4,5,6,7,8,9,13,14,15: begin
107
                                destport= 2;
108
                        end
109
                        default: begin
110
                                destport= {DSTPw{1'bX}};
111
                        end
112
 
113
                        endcase
114
                end
115
        end//SRC3
116
 
117
        if(SRC_E_ADDR == 4) begin : SRC4
118
                always@(*)begin
119
                        destport= 0;
120
                        case(dest_e_addr)
121
                        1,6,7,8,10,13: begin
122
                                destport= 1;
123
                        end
124
                        3: begin
125
                                destport= 2;
126
                        end
127
                        0,2,5,9,11,12,14,15: begin
128
                                destport= 3;
129
                        end
130
                        default: begin
131
                                destport= {DSTPw{1'bX}};
132
                        end
133
 
134
                        endcase
135
                end
136
        end//SRC4
137
 
138
        if(SRC_E_ADDR == 5) begin : SRC5
139
                always@(*)begin
140
                        destport= 0;
141
                        case(dest_e_addr)
142
                        1,7,8,10,11,12,15: begin
143
                                destport= 1;
144
                        end
145
                        2,3,4,6,13,14: begin
146
                                destport= 2;
147
                        end
148
                        0,9: begin
149
                                destport= 3;
150
                        end
151
                        default: begin
152
                                destport= {DSTPw{1'bX}};
153
                        end
154
 
155
                        endcase
156
                end
157
        end//SRC5
158
 
159
        if(SRC_E_ADDR == 6) begin : SRC6
160
                always@(*)begin
161
                        destport= 0;
162
                        case(dest_e_addr)
163
                        3,4,13: begin
164
                                destport= 1;
165
                        end
166
                        0,1,2,5,7,8,9,10,11,12,14,15: begin
167
                                destport= 2;
168
                        end
169
                        default: begin
170
                                destport= {DSTPw{1'bX}};
171
                        end
172
 
173
                        endcase
174
                end
175
        end//SRC6
176
 
177
        if(SRC_E_ADDR == 7) begin : SRC7
178
                always@(*)begin
179
                        destport= 0;
180
                        case(dest_e_addr)
181
                        2,3,4,5,6,8,9,11,12,13,14,15: begin
182
                                destport= 1;
183
                        end
184
                        0,10: begin
185
                                destport= 2;
186
                        end
187
                        1: begin
188
                                destport= 3;
189
                        end
190
                        default: begin
191
                                destport= {DSTPw{1'bX}};
192
                        end
193
 
194
                        endcase
195
                end
196
        end//SRC7
197
 
198
        if(SRC_E_ADDR == 8) begin : SRC8
199
                always@(*)begin
200
                        destport= 0;
201
                        case(dest_e_addr)
202
                        0,4,5,9,10,12: begin
203
                                destport= 1;
204
                        end
205
                        2,3,6,11,13,14,15: begin
206
                                destport= 2;
207
                        end
208
                        1,7: begin
209
                                destport= 3;
210
                        end
211
                        default: begin
212
                                destport= {DSTPw{1'bX}};
213
                        end
214
 
215
                        endcase
216
                end
217
        end//SRC8
218
 
219
        if(SRC_E_ADDR == 9) begin : SRC9
220
                always@(*)begin
221
                        destport= 0;
222
                        case(dest_e_addr)
223
                        1,7,8,10,12: begin
224
                                destport= 1;
225
                        end
226
                        2,3,4,5,6,11,13,14,15: begin
227
                                destport= 2;
228
                        end
229
                        0: begin
230
                                destport= 3;
231
                        end
232
                        default: begin
233
                                destport= {DSTPw{1'bX}};
234
                        end
235
 
236
                        endcase
237
                end
238
        end//SRC9
239
 
240
        if(SRC_E_ADDR == 10) begin : SRC10
241
                always@(*)begin
242
                        destport= 0;
243
                        case(dest_e_addr)
244
                        2,3,4,5,6,8,9,11,12,13,14,15: begin
245
                                destport= 1;
246
                        end
247
                        1,7: begin
248
                                destport= 2;
249
                        end
250
                        0: begin
251
                                destport= 3;
252
                        end
253
                        default: begin
254
                                destport= {DSTPw{1'bX}};
255
                        end
256
 
257
                        endcase
258
                end
259
        end//SRC10
260
 
261
        if(SRC_E_ADDR == 11) begin : SRC11
262
                always@(*)begin
263
                        destport= 0;
264
                        case(dest_e_addr)
265
                        0,1,4,5,6,7,8,9,10,12,13,14,15: begin
266
                                destport= 1;
267
                        end
268
                        2: begin
269
                                destport= 2;
270
                        end
271
                        3: begin
272
                                destport= 3;
273
                        end
274
                        default: begin
275
                                destport= {DSTPw{1'bX}};
276
                        end
277
 
278
                        endcase
279
                end
280
        end//SRC11
281
 
282
        if(SRC_E_ADDR == 12) begin : SRC12
283
                always@(*)begin
284
                        destport= 0;
285
                        case(dest_e_addr)
286
                        2,3,4,5,6,11,13,14,15: begin
287
                                destport= 1;
288
                        end
289
                        0,9: begin
290
                                destport= 2;
291
                        end
292
                        1,7,10: begin
293
                                destport= 3;
294
                        end
295
                        8: begin
296
                                destport= 4;
297
                        end
298
                        default: begin
299
                                destport= {DSTPw{1'bX}};
300
                        end
301
 
302
                        endcase
303
                end
304
        end//SRC12
305
 
306
        if(SRC_E_ADDR == 13) begin : SRC13
307
                always@(*)begin
308
                        destport= 0;
309
                        case(dest_e_addr)
310
                        3,4: begin
311
                                destport= 2;
312
                        end
313
                        6: begin
314
                                destport= 3;
315
                        end
316
                        0,1,2,5,7,8,9,10,11,12,14,15: begin
317
                                destport= 4;
318
                        end
319
                        default: begin
320
                                destport= {DSTPw{1'bX}};
321
                        end
322
 
323
                        endcase
324
                end
325
        end//SRC13
326
 
327
        if(SRC_E_ADDR == 14) begin : SRC14
328
                always@(*)begin
329
                        destport= 0;
330
                        case(dest_e_addr)
331
                        5,9,12,15: begin
332
                                destport= 1;
333
                        end
334
                        3,4,6,13: begin
335
                                destport= 2;
336
                        end
337
                        0,1,7,8,10: begin
338
                                destport= 3;
339
                        end
340
                        2,11: begin
341
                                destport= 4;
342
                        end
343
                        default: begin
344
                                destport= {DSTPw{1'bX}};
345
                        end
346
 
347
                        endcase
348
                end
349
        end//SRC14
350
 
351
        if(SRC_E_ADDR == 15) begin : SRC15
352
                always@(*)begin
353
                        destport= 0;
354
                        case(dest_e_addr)
355
                        1,7,8,10,12: begin
356
                                destport= 1;
357
                        end
358
                        2,11,14: begin
359
                                destport= 2;
360
                        end
361
                        3,4,6,13: begin
362
                                destport= 3;
363
                        end
364
                        0,5,9: begin
365
                                destport= 4;
366
                        end
367
                        default: begin
368
                                destport= {DSTPw{1'bX}};
369
                        end
370
 
371
                        endcase
372
                end
373
        end//SRC15
374
 
375
        endgenerate
376
 
377
 
378
 
379
endmodule
380
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.