OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_topolgy/] [custom1/] [Tcustom1Rcustom_look_ahead_routing_genvar.v] - Blame information for rev 56

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
 
2
/**************************************************************************
3
**      WARNING: THIS IS AN AUTO-GENERATED FILE. CHANGES TO IT ARE LIKELY TO BE
4
**      OVERWRITTEN AND LOST. Rename this file if you wish to do any modification.
5
****************************************************************************/
6
 
7
 
8
/**********************************************************************
9 56 alirezamon
**      File: /home/alireza/work/git/pronoc/mpsoc/rtl/src_topolgy/custom1/Tcustom1Rcustom_look_ahead_routing_genvar.v
10 48 alirezamon
**
11 54 alirezamon
**      Copyright (C) 2014-2021  Alireza Monemi
12 48 alirezamon
**
13 56 alirezamon
**      This file is part of ProNoC 2.1.0
14 48 alirezamon
**
15
**      ProNoC ( stands for Prototype Network-on-chip)  is free software:
16
**      you can redistribute it and/or modify it under the terms of the GNU
17
**      Lesser General Public License as published by the Free Software Foundation,
18
**      either version 2 of the License, or (at your option) any later version.
19
**
20
**      ProNoC is distributed in the hope that it will be useful, but WITHOUT
21
**      ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
22
**      or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser General
23
**      Public License for more details.
24
**
25
**      You should have received a copy of the GNU Lesser General Public
26
**      License along with ProNoC. If not, see <http:**www.gnu.org/licenses/>.
27
******************************************************************************/
28
 
29 54 alirezamon
 `include "pronoc_def.v"
30
 
31 48 alirezamon
/*****************************
32
*       Tcustom1Rcustom_look_ahead_routing_genvar
33
******************************/
34
module Tcustom1Rcustom_look_ahead_routing_genvar  #(
35
        parameter RAw = 3,
36
        parameter EAw = 3,
37
        parameter DSTPw=4,
38
        parameter CURRENT_R_ADDR=0
39
)
40
(
41
        dest_e_addr,
42
        src_e_addr,
43
        destport,
44
        reset,
45
        clk
46
);
47
 
48
        input   [EAw-1   :0] dest_e_addr;
49
        input   [EAw-1   :0] src_e_addr;
50
        output  [DSTPw-1 :0] destport;
51
        input reset,clk;
52
 
53
        reg [EAw-1   :0] dest_e_addr_delay;
54
        reg [EAw-1   :0] src_e_addr_delay;
55
 
56 54 alirezamon
         always @ (`pronoc_clk_reset_edge )begin
57
        if(`pronoc_reset) begin
58 48 alirezamon
                        dest_e_addr_delay<={EAw{1'b0}};
59
                        src_e_addr_delay<={EAw{1'b0}};
60
                end else begin
61
                        dest_e_addr_delay<=dest_e_addr;
62
                        src_e_addr_delay<=src_e_addr;
63
                end
64
        end
65
 
66
        custom1_look_ahead_routing_genvar_comb  #(
67
                .RAw(RAw),
68
                .EAw(EAw),
69
                .DSTPw(DSTPw),
70
                .CURRENT_R_ADDR(CURRENT_R_ADDR)
71
        )
72
        lkp_cmb
73
        (
74
 
75
                .dest_e_addr(dest_e_addr_delay),
76
                .src_e_addr(src_e_addr_delay),
77
                .destport(destport)
78
        );
79
 
80
 
81
 
82
endmodule
83
 
84
/*******************
85
* Tcustom1Rcustom_look_ahead_routing_genvar_comb
86
********************/
87
 
88
 
89
 module Tcustom1Rcustom_look_ahead_routing_genvar_comb  #(
90
        parameter RAw = 3,
91
        parameter EAw = 3,
92
        parameter DSTPw=4,
93
        parameter CURRENT_R_ADDR=0
94
)
95
(
96
        dest_e_addr,
97
        src_e_addr,
98
        destport
99
);
100
 
101
        input   [EAw-1   :0] dest_e_addr;
102
        input   [EAw-1   :0] src_e_addr;
103
        output  reg [DSTPw-1 :0] destport;
104
 
105
localparam [EAw-1 : 0]   E0=0;
106
localparam [EAw-1 : 0]   E1=1;
107
localparam [EAw-1 : 0]   E2=2;
108
localparam [EAw-1 : 0]   E3=3;
109
localparam [EAw-1 : 0]   E4=4;
110
localparam [EAw-1 : 0]   E5=5;
111
localparam [EAw-1 : 0]   E6=6;
112
localparam [EAw-1 : 0]   E7=7;
113
localparam [EAw-1 : 0]   E8=8;
114
localparam [EAw-1 : 0]   E9=9;
115
localparam [EAw-1 : 0]   E10=10;
116
localparam [EAw-1 : 0]   E11=11;
117
localparam [EAw-1 : 0]   E12=12;
118
localparam [EAw-1 : 0]   E13=13;
119
localparam [EAw-1 : 0]   E14=14;
120
localparam [EAw-1 : 0]   E15=15;
121
 
122
 
123
        generate
124
        if(CURRENT_R_ADDR == 0) begin :R0
125
                always@(*)begin
126
                        destport= 0;
127
                        case({src_e_addr,dest_e_addr})
128
                        {E0,E9},{E0,E10}: begin
129
                                destport= 0;
130
                        end
131
                        {E0,E2},{E0,E3},{E0,E8},{E0,E11},{E0,E12}: begin
132
                                destport= 1;
133
                        end
134
                        {E0,E1},{E0,E4},{E0,E5},{E0,E6},{E0,E7},{E0,E13},{E0,E14},{E0,E15}: begin
135
                                destport= 2;
136
                        end
137
                        endcase
138
                end
139
        end//R0
140
 
141
        if(CURRENT_R_ADDR == 1) begin :R1
142
                always@(*)begin
143
                        destport= 0;
144
                        case({src_e_addr,dest_e_addr})
145
                        {E1,E2},{E1,E7},{E2,E7}: begin
146
                                destport= 0;
147
                        end
148
                        {E1,E3},{E1,E4},{E1,E5},{E1,E6},{E1,E8},{E1,E9},{E1,E11},{E1,E12},{E1,E13},{E1,E14},{E1,E15},{E2,E9},{E2,E12}: begin
149
                                destport= 1;
150
                        end
151
                        {E1,E0},{E1,E10},{E2,E0},{E2,E10}: begin
152
                                destport= 2;
153
                        end
154
                        endcase
155
                end
156
        end//R1
157
 
158
        if(CURRENT_R_ADDR == 2) begin :R2
159
                always@(*)begin
160
                        destport= 0;
161
                        case({src_e_addr,dest_e_addr})
162
                        {E1,E11},{E2,E1},{E2,E11}: begin
163
                                destport= 0;
164
                        end
165
                        {E1,E5},{E1,E6},{E1,E13},{E1,E14},{E2,E0},{E2,E4},{E2,E5},{E2,E6},{E2,E7},{E2,E8},{E2,E9},{E2,E10},{E2,E12},{E2,E13},{E2,E14},{E2,E15}: begin
166
                                destport= 1;
167
                        end
168
                        {E1,E3},{E2,E3}: begin
169
                                destport= 3;
170
                        end
171
                        endcase
172
                end
173
        end//R2
174
 
175
        if(CURRENT_R_ADDR == 3) begin :R3
176
                always@(*)begin
177
                        destport= 0;
178
                        case({src_e_addr,dest_e_addr})
179
                        {E3,E4},{E3,E11}: begin
180
                                destport= 0;
181
                        end
182
                        {E3,E1},{E3,E6},{E3,E7},{E3,E8},{E3,E10},{E3,E12},{E3,E13},{E3,E14}: begin
183
                                destport= 1;
184
                        end
185
                        {E3,E2}: begin
186
                                destport= 2;
187
                        end
188
                        {E3,E0},{E3,E5},{E3,E9},{E3,E15}: begin
189
                                destport= 3;
190
                        end
191
                        endcase
192
                end
193
        end//R3
194
 
195
        if(CURRENT_R_ADDR == 4) begin :R4
196
                always@(*)begin
197
                        destport= 0;
198
                        case({src_e_addr,dest_e_addr})
199
                        {E3,E13},{E4,E3},{E4,E13},{E5,E3},{E6,E3},{E7,E3},{E8,E3},{E9,E3},{E10,E3},{E12,E3},{E13,E3},{E14,E3},{E15,E3}: begin
200
                                destport= 0;
201
                        end
202
                        {E4,E2},{E4,E11},{E4,E14}: begin
203
                                destport= 1;
204
                        end
205
                        {E3,E0},{E3,E5},{E3,E9},{E3,E15},{E4,E0},{E4,E5},{E4,E9},{E4,E12},{E4,E15}: begin
206
                                destport= 2;
207
                        end
208
                        {E3,E6},{E4,E6}: begin
209
                                destport= 3;
210
                        end
211
                        {E3,E1},{E3,E7},{E3,E8},{E3,E14},{E4,E1},{E4,E7},{E4,E8},{E4,E10}: begin
212
                                destport= 4;
213
                        end
214
                        endcase
215
                end
216
        end//R4
217
 
218
        if(CURRENT_R_ADDR == 5) begin :R5
219
                always@(*)begin
220
                        destport= 0;
221
                        case({src_e_addr,dest_e_addr})
222
                        {E0,E6},{E0,E15},{E3,E9},{E3,E15},{E4,E9},{E4,E15},{E5,E6},{E5,E9},{E5,E15},{E6,E9},{E6,E15},{E9,E6},{E9,E15},{E13,E9},{E14,E9},{E15,E9}: begin
223
                                destport= 0;
224
                        end
225
                        {E0,E4},{E0,E13},{E4,E12},{E5,E1},{E5,E2},{E5,E3},{E5,E4},{E5,E7},{E5,E8},{E5,E10},{E5,E12},{E5,E13},{E5,E14},{E6,E1},{E6,E7},{E6,E8},{E6,E10},{E6,E12},{E9,E3},{E9,E4},{E9,E13},{E9,E14}: begin
226
                                destport= 1;
227
                        end
228
                        {E0,E14},{E5,E11},{E6,E2},{E6,E11},{E6,E14},{E9,E2},{E9,E11}: begin
229
                                destport= 2;
230
                        end
231
                        {E3,E0},{E4,E0},{E5,E0},{E6,E0},{E11,E0},{E13,E0},{E15,E0}: begin
232
                                destport= 3;
233
                        end
234
                        endcase
235
                end
236
        end//R5
237
 
238
        if(CURRENT_R_ADDR == 6) begin :R6
239
                always@(*)begin
240
                        destport= 0;
241
                        case({src_e_addr,dest_e_addr})
242
                        {E0,E13},{E3,E5},{E4,E5},{E5,E13},{E6,E5},{E6,E13},{E9,E13}: begin
243
                                destport= 0;
244
                        end
245
                        {E3,E15},{E4,E12},{E4,E15},{E6,E1},{E6,E2},{E6,E7},{E6,E8},{E6,E10},{E6,E11},{E6,E12},{E6,E14},{E6,E15}: begin
246
                                destport= 1;
247
                        end
248
                        {E0,E4},{E5,E3},{E5,E4},{E6,E3},{E6,E4},{E9,E3},{E9,E4}: begin
249
                                destport= 2;
250
                        end
251
                        {E3,E0},{E3,E9},{E4,E0},{E4,E9},{E6,E0},{E6,E9}: begin
252
                                destport= 3;
253
                        end
254
                        {E4,E2},{E4,E11},{E4,E14},{E5,E2},{E5,E14},{E9,E14}: begin
255
                                destport= 4;
256
                        end
257
                        endcase
258
                end
259
        end//R6
260
 
261
        if(CURRENT_R_ADDR == 7) begin :R7
262
                always@(*)begin
263
                        destport= 0;
264
                        case({src_e_addr,dest_e_addr})
265
                        {E0,E1},{E1,E8},{E1,E10},{E2,E10},{E3,E1},{E3,E10},{E4,E1},{E4,E10},{E5,E1},{E6,E1},{E7,E1},{E7,E8},{E7,E10},{E8,E1},{E9,E1},{E10,E1},{E11,E1},{E11,E10},{E12,E1},{E13,E1},{E13,E10},{E14,E1},{E14,E10},{E15,E1}: begin
266
                                destport= 0;
267
                        end
268
                        {E1,E9},{E1,E12},{E1,E15},{E2,E9},{E2,E12},{E7,E9},{E7,E12}: begin
269
                                destport= 1;
270
                        end
271
                        {E1,E4},{E7,E2},{E7,E3},{E7,E4},{E7,E5},{E7,E6},{E7,E11},{E7,E13},{E7,E14},{E7,E15}: begin
272
                                destport= 2;
273
                        end
274
                        {E1,E0},{E2,E0},{E7,E0},{E14,E0}: begin
275
                                destport= 3;
276
                        end
277
                        endcase
278
                end
279
        end//R7
280
 
281
        if(CURRENT_R_ADDR == 8) begin :R8
282
                always@(*)begin
283
                        destport= 0;
284
                        case({src_e_addr,dest_e_addr})
285
                        {E1,E12},{E2,E12},{E3,E7},{E4,E7},{E7,E12},{E7,E14},{E8,E7},{E8,E12},{E8,E14},{E9,E7},{E11,E7},{E13,E7},{E14,E7}: begin
286
                                destport= 0;
287
                        end
288
                        {E1,E15},{E7,E5},{E7,E15},{E8,E4},{E8,E5},{E8,E15}: begin
289
                                destport= 1;
290
                        end
291
                        {E1,E4},{E1,E9},{E2,E9},{E3,E10},{E4,E10},{E7,E3},{E7,E4},{E7,E6},{E7,E9},{E7,E13},{E8,E0},{E8,E3},{E8,E6},{E8,E9},{E8,E13},{E11,E10},{E13,E10},{E14,E0},{E14,E10}: begin
292
                                destport= 2;
293
                        end
294
                        {E3,E1},{E4,E1},{E8,E1},{E8,E10},{E9,E1},{E11,E1},{E13,E1},{E14,E1}: begin
295
                                destport= 3;
296
                        end
297
                        {E7,E2},{E7,E11},{E8,E2},{E8,E11}: begin
298
                                destport= 4;
299
                        end
300
                        endcase
301
                end
302
        end//R8
303
 
304
        if(CURRENT_R_ADDR == 9) begin :R9
305
                always@(*)begin
306
                        destport= 0;
307
                        case({src_e_addr,dest_e_addr})
308
                        {E0,E5},{E0,E12},{E3,E0},{E4,E0},{E5,E0},{E6,E0},{E8,E0},{E9,E0},{E9,E5},{E9,E12},{E11,E0},{E12,E0},{E13,E0},{E15,E0}: begin
309
                                destport= 0;
310
                        end
311
                        {E0,E11},{E0,E14},{E0,E15},{E9,E2},{E9,E11},{E9,E15}: begin
312
                                destport= 1;
313
                        end
314
                        {E0,E4},{E0,E6},{E0,E13},{E9,E3},{E9,E4},{E9,E6},{E9,E13},{E9,E14}: begin
315
                                destport= 2;
316
                        end
317
                        {E9,E10}: begin
318
                                destport= 3;
319
                        end
320
                        {E0,E8},{E9,E1},{E9,E7},{E9,E8}: begin
321
                                destport= 4;
322
                        end
323
                        endcase
324
                end
325
        end//R9
326
 
327
        if(CURRENT_R_ADDR == 10) begin :R10
328
                always@(*)begin
329
                        destport= 0;
330
                        case({src_e_addr,dest_e_addr})
331
                        {E0,E7},{E1,E0},{E2,E0},{E5,E7},{E6,E7},{E7,E0},{E10,E0},{E10,E7},{E10,E12},{E12,E7},{E14,E0},{E15,E7}: begin
332
                                destport= 0;
333
                        end
334
                        {E0,E2},{E0,E3},{E10,E2},{E10,E3},{E10,E4},{E10,E5},{E10,E6},{E10,E11},{E10,E13},{E10,E14},{E10,E15}: begin
335
                                destport= 1;
336
                        end
337
                        {E10,E9}: begin
338
                                destport= 2;
339
                        end
340
                        {E0,E1},{E5,E1},{E6,E1},{E10,E1},{E12,E1},{E15,E1}: begin
341
                                destport= 3;
342
                        end
343
                        {E10,E8}: begin
344
                                destport= 4;
345
                        end
346
                        endcase
347
                end
348
        end//R10
349
 
350
        if(CURRENT_R_ADDR == 11) begin :R11
351
                always@(*)begin
352
                        destport= 0;
353
                        case({src_e_addr,dest_e_addr})
354
                        {E0,E2},{E0,E3},{E1,E3},{E1,E14},{E2,E3},{E2,E14},{E3,E2},{E4,E2},{E5,E2},{E6,E2},{E7,E2},{E8,E2},{E9,E2},{E10,E2},{E11,E2},{E11,E3},{E11,E14},{E12,E2},{E13,E2},{E14,E2},{E15,E2}: begin
355
                                destport= 0;
356
                        end
357
                        {E1,E5},{E1,E13},{E2,E5},{E2,E15},{E3,E12},{E11,E0},{E11,E5},{E11,E9},{E11,E12},{E11,E15}: begin
358
                                destport= 1;
359
                        end
360
                        {E1,E6},{E2,E4},{E2,E6},{E2,E13},{E11,E4},{E11,E6},{E11,E13}: begin
361
                                destport= 2;
362
                        end
363
                        {E2,E8},{E3,E10},{E11,E1},{E11,E7},{E11,E8},{E11,E10}: begin
364
                                destport= 3;
365
                        end
366
                        endcase
367
                end
368
        end//R11
369
 
370
        if(CURRENT_R_ADDR == 12) begin :R12
371
                always@(*)begin
372
                        destport= 0;
373
                        case({src_e_addr,dest_e_addr})
374
                        {E0,E8},{E1,E9},{E1,E15},{E2,E9},{E5,E8},{E5,E10},{E6,E8},{E6,E10},{E7,E9},{E8,E9},{E8,E10},{E9,E8},{E9,E10},{E10,E8},{E10,E9},{E10,E15},{E11,E9},{E12,E8},{E12,E9},{E12,E10},{E12,E15},{E15,E8},{E15,E10}: begin
375
                                destport= 0;
376
                        end
377
                        {E0,E2},{E0,E3},{E0,E11},{E5,E1},{E5,E7},{E6,E1},{E6,E7},{E10,E2},{E10,E11},{E10,E14},{E12,E1},{E12,E2},{E12,E7},{E12,E11},{E12,E14},{E15,E1},{E15,E7}: begin
378
                                destport= 2;
379
                        end
380
                        {E8,E0},{E8,E4},{E9,E1},{E9,E7},{E10,E3},{E10,E4},{E10,E6},{E10,E13},{E12,E0},{E12,E3},{E12,E4},{E12,E6},{E12,E13}: begin
381
                                destport= 3;
382
                        end
383
                        {E8,E5},{E10,E5},{E12,E5}: begin
384
                                destport= 4;
385
                        end
386
                        endcase
387
                end
388
        end//R12
389
 
390
        if(CURRENT_R_ADDR == 13) begin :R13
391
                always@(*)begin
392
                        destport= 0;
393
                        case({src_e_addr,dest_e_addr})
394
                        {E0,E4},{E1,E4},{E1,E6},{E2,E4},{E2,E6},{E3,E6},{E3,E14},{E4,E6},{E4,E14},{E5,E4},{E5,E14},{E6,E4},{E7,E4},{E7,E6},{E8,E4},{E8,E6},{E9,E4},{E9,E14},{E10,E4},{E10,E6},{E11,E4},{E11,E6},{E12,E4},{E12,E6},{E13,E4},{E13,E6},{E13,E14},{E14,E4},{E14,E6},{E15,E4},{E15,E6}: begin
395
                                destport= 0;
396
                        end
397
                        {E13,E0},{E13,E5},{E13,E9},{E13,E12},{E13,E15}: begin
398
                                destport= 1;
399
                        end
400
                        {E5,E3},{E6,E3},{E7,E3},{E8,E3},{E9,E3},{E10,E3},{E12,E3},{E13,E3},{E14,E3},{E15,E3}: begin
401
                                destport= 2;
402
                        end
403
                        {E3,E1},{E3,E7},{E3,E8},{E4,E1},{E4,E7},{E4,E8},{E4,E10},{E13,E1},{E13,E7},{E13,E8},{E13,E10}: begin
404
                                destport= 3;
405
                        end
406
                        {E4,E2},{E4,E11},{E5,E2},{E13,E2},{E13,E11}: begin
407
                                destport= 4;
408
                        end
409
                        endcase
410
                end
411
        end//R13
412
 
413
        if(CURRENT_R_ADDR == 14) begin :R14
414
                always@(*)begin
415
                        destport= 0;
416
                        case({src_e_addr,dest_e_addr})
417
                        {E0,E11},{E2,E8},{E2,E13},{E2,E15},{E3,E8},{E4,E8},{E4,E11},{E5,E11},{E6,E11},{E7,E11},{E7,E13},{E7,E15},{E8,E11},{E8,E13},{E8,E15},{E9,E11},{E10,E11},{E11,E8},{E11,E13},{E11,E15},{E12,E11},{E13,E8},{E13,E11},{E13,E15},{E14,E8},{E14,E11},{E14,E13},{E14,E15},{E15,E11}: begin
418
                                destport= 0;
419
                        end
420
                        {E3,E12},{E11,E9},{E11,E12},{E13,E12},{E14,E12}: begin
421
                                destport= 1;
422
                        end
423
                        {E0,E2},{E1,E4},{E2,E4},{E4,E2},{E5,E2},{E6,E2},{E7,E2},{E7,E3},{E7,E4},{E8,E2},{E8,E3},{E9,E2},{E10,E2},{E11,E4},{E12,E2},{E13,E2},{E14,E2},{E14,E3},{E14,E4},{E15,E2}: begin
424
                                destport= 2;
425
                        end
426
                        {E0,E3},{E1,E6},{E1,E13},{E2,E6},{E3,E1},{E3,E7},{E3,E10},{E4,E1},{E4,E7},{E4,E10},{E7,E6},{E8,E6},{E11,E1},{E11,E6},{E11,E7},{E11,E10},{E13,E1},{E13,E7},{E13,E10},{E14,E0},{E14,E1},{E14,E6},{E14,E7},{E14,E10}: begin
427
                                destport= 3;
428
                        end
429
                        {E1,E5},{E2,E5},{E7,E5},{E11,E0},{E11,E5},{E13,E0},{E13,E5},{E13,E9},{E14,E5},{E14,E9}: begin
430
                                destport= 4;
431
                        end
432
                        endcase
433
                end
434
        end//R14
435
 
436
        if(CURRENT_R_ADDR == 15) begin :R15
437
                always@(*)begin
438
                        destport= 0;
439
                        case({src_e_addr,dest_e_addr})
440
                        {E0,E14},{E1,E5},{E1,E13},{E2,E5},{E3,E12},{E4,E12},{E5,E12},{E6,E12},{E6,E14},{E7,E5},{E8,E5},{E10,E5},{E10,E13},{E10,E14},{E11,E5},{E11,E12},{E12,E5},{E12,E13},{E12,E14},{E13,E5},{E13,E12},{E14,E5},{E14,E12},{E15,E5},{E15,E12},{E15,E13},{E15,E14}: begin
441
                                destport= 0;
442
                        end
443
                        {E8,E4},{E10,E3},{E10,E4},{E11,E9},{E12,E3},{E12,E4},{E15,E3},{E15,E4}: begin
444
                                destport= 2;
445
                        end
446
                        {E5,E1},{E5,E7},{E5,E10},{E6,E1},{E6,E7},{E6,E10},{E10,E6},{E11,E0},{E12,E6},{E13,E0},{E13,E9},{E14,E9},{E15,E0},{E15,E1},{E15,E6},{E15,E7},{E15,E9},{E15,E10}: begin
447
                                destport= 3;
448
                        end
449
                        {E0,E2},{E0,E3},{E0,E11},{E5,E8},{E5,E11},{E6,E2},{E6,E8},{E6,E11},{E9,E2},{E9,E11},{E10,E2},{E10,E11},{E12,E2},{E12,E11},{E15,E2},{E15,E8},{E15,E11}: begin
450
                                destport= 4;
451
                        end
452
                        endcase
453
                end
454
        end//R15
455
 
456
        endgenerate
457
 
458
 
459
 
460
endmodule
461
 
462
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.