OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_verilator/] [simulator.cpp] - Blame information for rev 56

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 alirezamon
#include <stdlib.h>
2
#include <stdio.h>
3
#include <unistd.h>
4
#include <string.h>
5 41 alirezamon
#include <limits.h>
6 32 alirezamon
#include <ctype.h>
7
#include <stdint.h>
8
#include <inttypes.h>
9
#include <verilated.h>          // Defines common routines
10 48 alirezamon
 
11 32 alirezamon
#include "Vtraffic.h"
12 48 alirezamon
#include "Vpck_inj.h"
13
#include <thread>
14
#include <vector>
15
#include <atomic>
16 32 alirezamon
 
17
 
18 48 alirezamon
#include <cstdint>
19
#include <cstdlib>
20
#include <iostream>
21 32 alirezamon
 
22 54 alirezamon
 
23 48 alirezamon
#include "simulator.h"
24 32 alirezamon
 
25 54 alirezamon
 
26
 
27
 
28 48 alirezamon
int main(int argc, char** argv) {
29 56 alirezamon
    char change_injection_ratio=0;
30
    int i,j,x,y;//,report_delay_counter=0;
31 32 alirezamon
 
32 56 alirezamon
    char deafult_out[] = {"result"};
33
    NEw=Log2(NE);
34
    for(i=0;i<NE;i++)   custom_traffic_table[i]=INJECT_OFF; //off
35
    Verilated::commandArgs(argc, argv);   // Remember args
36
    processArgs ( argc,  argv );
37
    allocate_rsv_pck_counters();
38
    if (class_percentage==NULL) {
39
            class_percentage =   (int *) malloc(sizeof(int));
40
            class_percentage[0]=100;
41
    }
42 32 alirezamon
 
43
 
44 56 alirezamon
    Vrouter_new();
45
    if (ENDP_TYPE == PCK_INJECTOR)    for(i=0;i<NE;i++)    pck_inj[i]  = new Vpck_inj;
46
    else                            for(i=0;i<NE;i++)    traffic[i]  = new Vtraffic;
47 32 alirezamon
 
48
 
49 48 alirezamon
 
50 56 alirezamon
    FIXED_SRC_DST_PAIR = strcmp (TRAFFIC,"RANDOM") &  strcmp(TRAFFIC,"HOTSPOT") & strcmp(TRAFFIC,"random") & strcmp(TRAFFIC,"hot spot") & strcmp(TRAFFIC,"TASK");
51 48 alirezamon
 
52
 
53 56 alirezamon
    /********************
54
    *    initialize input
55
    *********************/
56
    reset=1;
57
    reset_active_high=1;
58
    reset_all_register();
59
    start_i=0;
60 48 alirezamon
 
61 56 alirezamon
    mcast_init();
62 54 alirezamon
 
63
 
64
 
65 56 alirezamon
    topology_init();
66
    if( TRAFFIC_TYPE == NETRACE){
67
        netrace_init(netrace_file); // should be called first to initiate header
68
        pck_inj_init((int)header->num_nodes);
69
    }
70
    else if (TRAFFIC_TYPE ==SYNFUL) {
71
        pck_inj_init(SYNFUL_ENDP_NUM); //should be called first to initiate node mapping needed by synful lib
72
        synful_init(synful_file,synful_SSExit,synful_random_seed,sim_end_clk_num,end_sim_pck_num);
73
    }
74
    else     traffic_gen_init();
75 54 alirezamon
 
76
 
77
 
78 56 alirezamon
    main_time=0;
79
    print_parameter();
80
    if( thread_num>1) initial_threads();
81 54 alirezamon
 
82 56 alirezamon
    while (!Verilated::gotFinish()) {
83
        if(main_time - saved_time < 50) {//set reset and start
84
            reset_active_high = ((router1[0]->router_event[0] & ACTIVE_HIGH_RST)==1)? 1 : 0;
85
            if (main_time-saved_time >= 10 ) reset = (reset_active_high)? 0 :1;
86
            else reset = reset_active_high;     ;//keep system in reset
87 48 alirezamon
 
88 56 alirezamon
            if(main_time == saved_time+21){ count_en=1; start_i=1;}
89
            if(main_time == saved_time+23) start_i=0;
90
        }
91
 
92
        if(TRAFFIC_TYPE==NETRACE) netrace_posedge_event();
93
        else if(TRAFFIC_TYPE ==SYNFUL) synful_posedge_event();
94
        else traffic_clk_posedge_event();
95 48 alirezamon
 
96
 
97 56 alirezamon
        //The valus of all registers and input ports valuse change @ posedge of the clock. Once clk is deasserted,  as multiple modules are connected inside the testbench we need several eval for propogating combinational logic values
98
        //between modules when the clock .
99
        for (i=0;i<SMART_MAX+2;i++) {
100
            if(TRAFFIC_TYPE==NETRACE) netrace_negedge_event();
101
            else if(TRAFFIC_TYPE ==SYNFUL) synful_negedge_event();
102
            else traffic_clk_negedge_event( );
103
        }
104 48 alirezamon
 
105 56 alirezamon
        if(simulation_done){
106 54 alirezamon
 
107 56 alirezamon
            if( TRAFFIC_TYPE == NETRACE) netrace_final_report();
108
            else if(TRAFFIC_TYPE ==SYNFUL) synful_final_report();
109
            else traffic_gen_final_report();
110
            sim_final_all();
111
            return 0;
112
        }
113 54 alirezamon
 
114 56 alirezamon
        main_time++;
115 48 alirezamon
 
116 56 alirezamon
    }//Simulating is done
117 54 alirezamon
 
118 56 alirezamon
    sim_final_all();
119
    return 0;
120 48 alirezamon
 
121 32 alirezamon
}
122
 
123
 
124 48 alirezamon
#define __FILENAME__ (__FILE__ + SOURCE_PATH_SIZE)
125
 
126
void  usage(char * bin_name){
127 56 alirezamon
    printf("Usage:\n"
128 48 alirezamon
" %s -t <synthetic Traffic Pattern name> [synthetic Traffic options]\n"
129 54 alirezamon
" %s -f <Task file> [Task options]\n"
130
" %s -F <netrace file> [Netrace options] \n"
131
" %s -S <synful model file> [synful options]\n\n"
132 48 alirezamon
"synthetic Traffic options:\n"
133 54 alirezamon
"  -t <Traffic Pattern>        \"HOTSPOT\", \"RANDOM\", \"BIT_COMPLEMENT\" , \"BIT_REVERSE\",\n"
134 48 alirezamon
"                              \"TORNADO\", \"TRANSPOSE1\", \"TRANSPOSE2\", \"SHUFFEL\", \"CUSTOM\"\n"
135
"  -m <Packet size info>       packet size format  Random-Range or Random-discrete:\n"
136
"                              Random-Range : \"R,MIN,MAX\" : The injected packets' size in flits are\n"
137 54 alirezamon
"                              randomly selected in range MIN <= PCK_size <=MAX \n"
138 48 alirezamon
"                              Random-discrete: \"D,S1,S2,..Sn,P,P1,P2,P3,...Pn\": Si are the discrete\n"
139 54 alirezamon
"                              set of numbers representing packet size. The injected packet size is\n"
140
"                              randomly selected among these discrete values according to associated\n"
141
"                              probability values.\n"
142
"  -c <sim_end_clk_num>        The simulation will stop when the simulation clock number reaches this value\n"
143
"  -n <sim_end_pck_num>        The simulation will stop when the total sent packets to the NoC reaches this number\n"
144 48 alirezamon
"  -i <injection ratio>        flit injection ratio in percentage\n"
145 54 alirezamon
"  -p <class traffic ratios>   The percentage of traffic injected for each class. Represented in\n"
146
"                              comma-separated string format:\"n0,n1,n2..\" \n"
147
"  -h <HOTSPOT traffic format> represented in a string with the following format:\n"
148 48 alirezamon
"                              total number of hotspot nodes, first hotspot node ID, first hotspot node\n"
149
"                              send enable(1 or 0),first hotspot node percentage x10,second hotspot node ...\n"
150
"  -H <custom traffic pattern> custom traffic pattern: represented in a string with following format:\n"
151
"                              \"SRC1,DEST1, SRC2,DEST2, .., SRCn, DESTn\"   \n"
152 54 alirezamon
"  -T <thread-num>             total number of threads. The default is one (no-thread).\n"
153
"  -u <Multi/Broadcast format> represented in a string with following format:\n"
154
"                              \"ratio,min_pck_size,max_pck_size\"\n"
155
"                              ratio:The percentage of Multicast/broadcast packets against total injected \n"
156
"                              traffic. The Multicast/Broadcast packet size is randomly selected\n"
157
"                              between min_pck_size and max_pck_size. The max_pck_size must be smaller or equal\n"
158
"                              to the router buffer width. This filed is only valid when the NoC is configured\n"
159
"                              with the Multicast/Broadcast feature support.\n"
160 48 alirezamon
//"  -Q                          Quick (fast) simulation. ignore evaluating non-active routers \n"
161 54 alirezamon
//"                              to speed up simulation time"
162 48 alirezamon
"\nTrace options:\n"
163 54 alirezamon
"  -f <Task file>              Path to the task file. any custom task file can be generated using ProNoC gui\n"
164 48 alirezamon
"  -c <sim_end_clk_num>        Simulation will stop when simulation clock number reach this value \n"
165 54 alirezamon
"  -T <thread-num>             Total number of threads. The default is one (no-thread).\n"
166 48 alirezamon
//"  -Q                          Quick (fast) simulation. ignore evaluating non-active routers \n"
167 54 alirezamon
//"                              to speed up simulation time"
168 48 alirezamon
"\nNetrace options:\n"
169 54 alirezamon
"  -F <Netrace file>           Path to the task file. any custom task file can be generated using ProNoC gui\n"
170
"  -n <sim_end_pck_num>        The simulation will stop when the total sent packets to the NoC reaches this number\n"
171 48 alirezamon
"  -d                          ignore dependencies\n"
172 54 alirezamon
"  -r <start region>           Start region\n"
173
"  -l                          Reader throttling\n"
174
"  -v <level>                  Verbosity level. 0: off, 1:display a live number of injected packets,\n"
175
"                              3: print injected/ejected packets details, The default value is 1\n"
176
"  -T <thread-num>             Total number of threads. The default is one (no-thread).\n"
177
"  -s <speed-up-num>           The speed-up-num  is the ratio of netrace frequency to pronoc.The higher value\n"
178
"                              results in higher injection ratio to the NoC. Default is one\n"
179 48 alirezamon
//"  -Q                          Quick (fast) simulation. ignore evaluating non-active routers \n"
180 54 alirezamon
//"                              to speed up simulation time"
181
"\nsynful options:\n"
182
"  -S <model file>             Path to the synful application model file\n"
183
"  -r <seed value>             Seed value for random function\n"
184
"  -c <sim_end_clk_num>        The simulation will stop when the simulation clock number reaches this value \n"
185
"  -s                          Exit at steady state\n"
186
"  -n <sim_end_pck_num>        The simulation will stop when the total of sent packets to the NoC reaches this number\n"
187
"  -T <thread-num>             Total number of threads. The default is one (no-thread).\n"
188
"  -v <level>                  Verbosity level. 0: off, 1:display a live number of injected packets,\n"
189
"  -w <flit-size>              The synful flit size in Byte. It defines the number of flits that should be set to\n"
190
"                              ProNoC for each synful packets. The ProNoC packet size is:\n"
191
"                              Ceil(synful packet size/synful flit size).\n"
192
"                              3: print injected/ejected packets details, The default value is 1\n",
193
bin_name,bin_name,bin_name,bin_name
194 48 alirezamon
);
195
 
196
}
197
 
198
 
199
 
200
void netrace_processArgs (int argc, char **argv )
201
{
202
   char c;
203
 
204
   /* don't want getopt to moan - I can do that just fine thanks! */
205
   opterr = 0;
206
   if (argc < 2)  usage(argv[0]);
207
   while ((c = getopt (argc, argv, "F:dr:lv:T:n:s:")) != -1)
208
   {
209 56 alirezamon
     switch (c)
210
     {
211
         case 'F':
212
             TRAFFIC_TYPE=NETRACE;
213
             TRAFFIC=(char *) "NETRACE";
214
             ENDP_TYPE = PCK_INJECTOR;
215
             netrace_file = optarg;
216
             break;
217
         case 'd':
218
             ignore_dependencies=1;
219
             break;
220
         case 'r':
221
            start_region=atoi(optarg);
222
             break;
223
         case 'l':
224
             reader_throttling=1;
225
             break;
226
         case 'v':
227
             verbosity= atoi(optarg);
228
             break;
229
         case 'T':
230
            thread_num = atoi(optarg);
231
            break;
232
         case 'n':
233
             end_sim_pck_num=atoi(optarg);
234
             break;
235
         case 's':
236
             netrace_speed_up=atoi(optarg);
237 48 alirezamon
 
238 56 alirezamon
             break;
239
         case '?':
240
             if (isprint (optopt))
241
                 fprintf (stderr, "Unknown option `-%c'.\n", optopt);
242
             else
243
                 fprintf (stderr,  "Unknown option character `\\x%x'.\n",  optopt);
244
         default:
245
                usage(argv[0]);
246
                exit(1);
247
      }
248
    }
249 48 alirezamon
}
250
 
251
 
252
 
253
void synthetic_task_processArgs (int argc, char **argv )
254
{
255
   char c;
256
   int p;
257
   int array[10];
258
   float f;
259
 
260
   /* don't want getopt to moan - I can do that just fine thanks! */
261
   opterr = 0;
262
   if (argc < 2)  usage(argv[0]);
263 54 alirezamon
   while ((c = getopt (argc, argv, "t:m:n:c:i:p:h:H:f:T:u:Q")) != -1)
264 48 alirezamon
      {
265 56 alirezamon
     switch (c)
266
        {
267
         case 'f':
268
             TRAFFIC_TYPE=TASK;
269
             TRAFFIC=(char *) "TASK";
270
             task_traffic_init(optarg);
271
             break;
272
        case 't':
273
            TRAFFIC=optarg;
274
            total_active_routers=-1;
275
            break;
276
        case 's':
277
            MIN_PACKET_SIZE=atoi(optarg);
278
            break;
279
        case 'n':
280
             end_sim_pck_num=atoi(optarg);
281
             break;
282
        case 'c':
283
             sim_end_clk_num=atoi(optarg);
284
             break;
285
        case 'i':
286
             f=atof(optarg);
287
             f*=(MAX_RATIO/100);
288
             ratio= (int) f;
289
             break;
290
        case 'p':
291
            p= parse_string (optarg, array);
292
            if (p==0) {
293
                printf("Warning: class setting is ignored!\n");
294
                break;
295
            }
296
            class_percentage =   (int *) malloc( p * sizeof(int));
297
            for(int k=0;k<p;k++){
298
                class_percentage[k]=array[k];
299
            }
300
            if(p >1 && p>C){
301
                printf("Warning: the number of given class %u is larger than the number of message classes in ProNoC (C=%u)!\n",p,C);
302
            }
303
            break;
304
        case 'm':
305
            update_pck_size(optarg);
306 48 alirezamon
 
307 56 alirezamon
            break;
308
        case 'H':
309
            update_custom_traffic(optarg);
310
            break;
311
        case 'h':
312
            update_hotspot(optarg);
313
            break;
314
        case  'T':
315
            thread_num = atoi(optarg);
316
            break;
317
        case 'Q':
318
            //Quick_sim_en=1;
319
            fprintf (stderr, "Unknown option `-%c'.\n", optopt);
320
            usage(argv[0]);
321
            exit(1);
322
            break;
323
        case 'u':
324
            update_mcast_traffic(optarg);
325
            break;
326
        case '?':
327
           if (isprint (optopt))
328
          fprintf (stderr, "Unknown option `-%c'.\n", optopt);
329
           else
330
          fprintf (stderr, "Unknown option character `\\x%x'.\n", optopt);
331
        default:
332
           usage(argv[0]);
333
           exit(1);
334
        }
335 48 alirezamon
      }
336
}
337
 
338
 
339
 
340
 
341 54 alirezamon
void synful_processArgs (int argc, char **argv)
342
{
343
   char c;
344
   /* don't want getopt to moan - I can do that just fine thanks! */
345
   opterr = 0;
346
   if (argc < 2)  usage(argv[0]);
347
   while ((c = getopt (argc, argv, "S:c:sn:v:T:r:w:")) != -1)
348
   {
349 56 alirezamon
     switch (c)
350
     {
351
         case 'S':
352
             TRAFFIC_TYPE=SYNFUL;
353
             TRAFFIC=(char *) "SYNFUL";
354
             synful_file = optarg;
355
             ENDP_TYPE   =PCK_INJECTOR;
356
             break;
357
         case 'c':
358
             sim_end_clk_num=atoi(optarg);
359
             break;
360
         case 's':
361
             synful_SSExit =true;
362
             break;
363
         case 'n':
364
             end_sim_pck_num=atoi(optarg);
365
             break;
366
         case 'v':
367
              verbosity= atoi(optarg);
368
              break;
369
         case 'w':
370
              synful_flitw= atoi(optarg);
371
              break;
372
         case 'T':
373
             thread_num = atoi(optarg);
374
             break;
375
         case 'r':
376
             synful_random_seed = atoi(optarg);
377
             break;
378
         case '?':
379
             if (isprint (optopt)) fprintf (stderr, "Unknown option `-%c'.\n", optopt);
380
             else fprintf (stderr, "Unknown option character `\\x%x'.\n", optopt);
381
         default:
382
              usage(argv[0]);
383
              exit(1);
384
     }//switch
385 54 alirezamon
   }//while
386
}
387
 
388
 
389
 
390 32 alirezamon
int parse_string ( char * str, int * array)
391
{
392 56 alirezamon
    int i=0;
393 32 alirezamon
    char *pt;
394
    pt = strtok (str,",");
395
    while (pt != NULL) {
396
        int a = atoi(pt);
397
        array[i]=a;
398
        i++;
399
        pt = strtok (NULL, ",");
400
    }
401 56 alirezamon
   return i;
402 32 alirezamon
}
403
 
404 43 alirezamon
 
405 48 alirezamon
 
406
 
407
 
408
 
409 56 alirezamon
unsigned int pck_dst_gen_unicast (     unsigned int core_num, unsigned char * inject_en) {
410
    if(TRAFFIC_TYPE==TASK)    return      pck_dst_gen_task_graph ( core_num, inject_en);
411
    if((strcmp (TOPOLOGY,"MESH")==0)||(strcmp (TOPOLOGY,"TORUS")==0))    return  pck_dst_gen_2D (core_num, inject_en);
412
    return pck_dst_gen_1D (core_num, inject_en);
413 43 alirezamon
}
414
 
415
 
416 54 alirezamon
void mcast_full_rnd (unsigned int core_num){
417 56 alirezamon
    unsigned int rnd;
418
    int a;
419
    for(;;)  {
420
        DEST_ADDR_ASSIGN_RAND(traffic[core_num]->dest_e_addr);
421
        if((strcmp (SELF_LOOP_EN,"NO")==0)) DEST_ADDR_BIT_CLR(traffic[core_num]->dest_e_addr,core_num);
422
        DEST_ADDR_IS_ZERO(a,traffic[core_num]->dest_e_addr);
423
        //rnd = rand() & ~(0x1<<core_num);
424
        //rnd &= ((1<<NE) -1);
425
        //if(rnd!=0) return rnd;
426
        if(a!=1) return;
427
    }
428 54 alirezamon
}
429 43 alirezamon
 
430 54 alirezamon
 
431
void mcast_partial_rnd (unsigned int core_num){
432 56 alirezamon
    unsigned int rnd;int a;
433
    //printf("m[%d]=%d\n",core_num,mcast_list_array[core_num]);
434
    if(mcast_list_array[core_num] == 1){ // the current node is located in multicast partial list
435
        unsigned int self_node_addr = endp_id_to_mcast_id(core_num);//current node location in multicast list
436
        self_node_addr++;
437
        for(;;){
438
            DEST_ADDR_ASSIGN_RAND(traffic[core_num]->dest_e_addr);
439
            DEST_ADDR_BIT_CLR(traffic[core_num]->dest_e_addr,0);
440
            if((strcmp (SELF_LOOP_EN,"NO")==0))    DEST_ADDR_BIT_CLR(traffic[core_num]->dest_e_addr,self_node_addr);
441
            //rnd = rand() & ~((0x1<<(self_node_addr+1))|0x1); // generate a random multicast destination. remove the current node flag and unicast_flag from destination list
442
            //rnd &= ((1<<(MCAST_PRTLw+1)) -1);
443
            //printf("rnd=%d\n",rnd);
444
            DEST_ADDR_IS_ZERO(a,traffic[core_num]->dest_e_addr);
445
            if(a!=1) return;
446
            //if(rnd!=0) return rnd;
447
        }
448
    }else{
449
        for(;;){
450
            DEST_ADDR_ASSIGN_RAND(traffic[core_num]->dest_e_addr);
451
            DEST_ADDR_BIT_CLR(traffic[core_num]->dest_e_addr,0);
452
            DEST_ADDR_IS_ZERO(a,traffic[core_num]->dest_e_addr);
453
            if(a!=1) return;
454
            //rnd = rand() & ~0x1;// deassert the unicast flag
455
            //rnd &= ((1<<(MCAST_PRTLw+1)) -1);
456
            //if(rnd!=0) return rnd;
457
        }
458
    }
459 54 alirezamon
//this function should not come here
460
 
461
}
462
 
463
 
464
 
465
 
466 56 alirezamon
void pck_dst_gen (     unsigned int core_num, unsigned char * inject_en) {
467
    unsigned int dest = pck_dst_gen_unicast (core_num, inject_en);
468
//    printf("inject_en=%u, core_num=%u, dest=%u\n",*inject_en, core_num,dest);
469
    if(IS_UNICAST){
470
        traffic[core_num]->dest_e_addr= dest;
471
        return;
472
    }
473
    else if (*inject_en==0) return;
474
    //multicast
475
    DEST_ADDR_ASSIGN_ZERO(traffic[core_num]->dest_e_addr);//reset traffic[core_num]->dest_e_addr
476 54 alirezamon
 
477 56 alirezamon
    unsigned int dest_id = endp_addr_decoder (dest);
478 54 alirezamon
    //*inject_en = dest_id !=core_num;
479
 
480 56 alirezamon
    unsigned int rnd = rand() % 100; // 0~99
481
    if(rnd >= mcast.ratio){
482
        //send a unicast packet
483
        if((strcmp (SELF_LOOP_EN,"NO")==0) && dest_id==core_num){
484
            *inject_en=0;
485
            return;
486
        }
487
        if(IS_MCAST_FULL){
488
            //return (0x1<<dest_id);// for mcast-full
489
            DEST_ADDR_BIT_SET(traffic[core_num]->dest_e_addr,dest_id);
490
            return;
491
        }
492
        // IS_MCAST_PARTIAL | IS_BCAST_FULL | IS_BCAST_PARTIAL
493
        dest = (dest << 1) | 0x1; // {dest_coded,unicast_flag}
494
        DEST_ADDR_ASSIGN_INT(traffic[core_num]->dest_e_addr,dest);
495
        return;
496
    }
497
    traffic[core_num]->pck_size_in=rnd_between(mcast.min,mcast.max);
498 54 alirezamon
 
499 56 alirezamon
    if (IS_MCAST_FULL) {
500
        mcast_full_rnd (core_num);
501
        return;
502
    }
503
    if (IS_MCAST_PARTIAL){
504
        mcast_partial_rnd(core_num);
505
        return;
506
    }
507 54 alirezamon
 
508 56 alirezamon
    return; //IS_BCAST_FULL | IS_BCAST_PARTIAL  traffic[core_num]->dest_e_addr=0;
509 54 alirezamon
}
510
 
511
 
512
 
513 38 alirezamon
void update_hotspot(char * str){
514 56 alirezamon
     int i;
515
     int array[1000];
516
     int p;
517
     int acuum=0;
518
     hotspot_st * new_node;
519
     p= parse_string (str, array);
520
     if (p<4){
521
            fprintf(stderr,"ERROR: in hotspot traffic parameters. 4 value should be given as hotspot parameter\n");
522
            exit(1);
523
     }
524
     HOTSPOT_NUM=array[0];
525
     if (p<1+HOTSPOT_NUM*3){
526
            fprintf(stderr,"ERROR: in hotspot traffic parameters \n");
527
            exit(1);
528
     }
529
     new_node =  (hotspot_st *) malloc( HOTSPOT_NUM * sizeof(hotspot_st));
530
     if( new_node == NULL){
531
         fprintf(stderr,"ERROR: cannot allocate memory for hotspot traffic\n");
532
           exit(1);
533
        }
534
     for (i=1;i<3*HOTSPOT_NUM; i+=3){
535
        new_node[i/3]. ip_num = array[i];
536
        new_node[i/3]. send_enable=array[i+1];
537
        new_node[i/3]. percentage =  acuum + array[i+2];
538
        acuum= new_node[i/3]. percentage;
539
     }
540
     if(acuum> 1000){
541
             printf("Warning: The hotspot traffic summation %f exceed than 100 percent.  \n", (float) acuum /10);
542
     }
543
     hotspots=new_node;
544 38 alirezamon
}
545
 
546 54 alirezamon
void  update_mcast_traffic(char * str){
547 56 alirezamon
    int i;
548
    int array[10];
549
    int p;
550
    int max_valid =(B > LB)? LB : B;
551
    p= parse_string (str, array);
552
    if(p>0)    mcast.ratio =array[0];
553
    if(p>1)    mcast.min =array[1];
554
    if(p>2)    mcast.max =array[2];
555 54 alirezamon
 
556 56 alirezamon
    if (mcast.ratio > 100)       { printf("ERROR: The given multicast traffic ratio (%d) is larger than 100\n",mcast.ratio);     exit(1);}
557
    if (mcast.min < MIN_PCK_SIZE){ printf("ERROR: The given multicast minimum packet size (%d) is larger than %d minimum packet size supported by the NoC\n",mcast.min, MIN_PCK_SIZE);     exit(1);}
558
    if (mcast.max > max_valid)   { printf("ERROR: The given multicast maximum packet size (%d) is larger than %d maximum router buffer size\n",mcast.max, max_valid);     exit(1);};
559 54 alirezamon
 
560
 
561
}
562
 
563 48 alirezamon
void update_custom_traffic (char * str){
564 56 alirezamon
    int i;
565
    int array[10000];
566
    int p;
567
    p= parse_string (str, array);
568
    for (i=0;i<p; i+=2){
569
        custom_traffic_table[array[i]] = array[i+1];
570
    }
571 32 alirezamon
}
572
 
573 48 alirezamon
void update_pck_size(char *str){
574 56 alirezamon
    int i;
575
    int array[1000];
576
    char substring[1000];
577
    int p;
578
    char *pt,*pt2;
579
    MIN_PACKET_SIZE=100000;
580
    MAX_PACKET_SIZE=1;
581 32 alirezamon
 
582 43 alirezamon
 
583 56 alirezamon
    pt = strtok (str,",");
584
    if(*pt=='R'){//random range
585
        p= parse_string (str+2, array);
586
        if(p<2){
587
            fprintf(stderr,"ERROR: Wrong Packet size format %s. It should be \"R,min,max\" : \n",str);
588
            exit(1);
589
        }
590 43 alirezamon
 
591 56 alirezamon
        MIN_PACKET_SIZE=array[0];
592
        MAX_PACKET_SIZE=array[1];
593
        AVG_PACKET_SIZE=(MIN_PACKET_SIZE+MAX_PACKET_SIZE)/2;// average packet size
594
    }else if(*pt=='D'){//random discrete
595
        pck_size_sel =  RANDOM_discrete;
596
        pt = strtok (str+2,"P");
597
        pt2 = strtok (NULL,"P");
598
        if (pt == NULL || pt2==NULL) {
599
            fprintf(stderr,"ERROR: Wrong Packet size format %s. It should be \"D,s1,s2..sn,P,p1,p2..pn\". missing letter \"P\" in format  \n",str);
600
            exit(1);
601
        }
602
        p= parse_string (pt, array);
603
        if (p==0){
604
            fprintf(stderr,"ERROR: Wrong Packet size format %s. It should be \"D,s1,s2..sn,P,p1,p2..pn\". missing si values after letter \"D\" \"P\" in format  \n",str);
605
            exit(1);
606
        }
607
        int in=p;
608
        //alocate mmeory for pck size
609
        discrete_size = (int*)malloc((p) * sizeof(int));
610
        discrete_prob = (int*)malloc((p) * sizeof(int));
611
        // Check if the memory has been successfully allocated
612
        if (discrete_size == NULL || discrete_prob==NULL) {
613
            printf("ERROR: Memory not allocated.\n");
614
            exit(1);
615
        }
616 43 alirezamon
 
617 56 alirezamon
        for (i=0; i<p; i++){
618 32 alirezamon
 
619 56 alirezamon
            //printf("I[%u]=%u,\n",i,array[i]);
620
            discrete_size[i] = array[i];
621
            if(MIN_PACKET_SIZE > array[i]) MIN_PACKET_SIZE = array[i];
622
            if(MAX_PACKET_SIZE < array[i]) MAX_PACKET_SIZE = array[i];
623
        }
624 32 alirezamon
 
625 56 alirezamon
        p= parse_string (pt2+1, array);
626
        int sum=0;
627
        AVG_PACKET_SIZE=0;
628
        for (i=0; i<p; i++){
629
            //printf("P[%u]=%u,\n",i,array[i]);
630
            if(i<in){
631
                 sum+=array[i];
632
                 discrete_prob[i]=sum;
633
                 AVG_PACKET_SIZE+=discrete_size[i] * array[i];
634 32 alirezamon
 
635 56 alirezamon
            }
636
        }
637
        AVG_PACKET_SIZE/=100;
638 32 alirezamon
 
639 56 alirezamon
        if(sum!=100){
640
            fprintf(stderr,"ERROR: The accumulatio of the first %u probebility values is %u which is not equal to 100\n",in,sum);
641
            exit(1);
642
        }
643 32 alirezamon
 
644 56 alirezamon
    }else {
645
        fprintf(stderr,"ERROR: Wrong Packet size format %s. It should start with one of \"D\" or \"R\" letter\n",str);
646
        exit(1);
647
    }
648 54 alirezamon
 
649
 
650
}
651
 
652
void allocate_rsv_pck_counters (void) {
653 56 alirezamon
    int p=(MAX_PACKET_SIZE-MIN_PACKET_SIZE)+1;
654
    rsv_size_array = (unsigned int*) calloc ( p , sizeof(int));
655
    if (rsv_size_array==NULL){
656
         fprintf(stderr,"ERROR: cannot allocate memory for rsv_size_array\n");
657
         exit(1);
658
    }
659 48 alirezamon
}
660 43 alirezamon
 
661 32 alirezamon
 
662 48 alirezamon
void task_traffic_init (char * str) {
663 56 alirezamon
    load_traffic_file(str,task_graph_data,task_graph_abstract);
664
    end_sim_pck_num=task_graph_total_pck_num;
665
    MIN_PACKET_SIZE = task_graph_min_pck_size;
666
    MAX_PACKET_SIZE = task_graph_max_pck_size;
667
    AVG_PACKET_SIZE=(MIN_PACKET_SIZE+MAX_PACKET_SIZE)/2;// average packet size
668
    int p=(MAX_PACKET_SIZE-MIN_PACKET_SIZE)+1;
669
    rsv_size_array = (unsigned int*) calloc ( p , sizeof(int));
670
    if (rsv_size_array==NULL){
671
        fprintf(stderr,"ERROR: cannot allocate (%d x int) memory for rsv_size_array. \n",p);
672
        exit(1);
673
    }
674 48 alirezamon
}
675 32 alirezamon
 
676
 
677
 
678
 
679
 
680 38 alirezamon
 
681 32 alirezamon
 
682 48 alirezamon
void processArgs (int argc, char **argv ){
683 56 alirezamon
    int i;
684 54 alirezamon
 
685 56 alirezamon
    mcast.ratio=50;
686
    mcast.min= MIN_PCK_SIZE;
687
    mcast.max= (B > LB)? LB : B;
688 54 alirezamon
 
689 56 alirezamon
    for( i = 1; i < argc; ++i ) {
690
        if( strcmp(argv[i], "-t") == 0 ) {
691
            synthetic_task_processArgs ( argc, argv );
692
            return;
693
        } else if( strcmp(argv[i], "-f") == 0 ) {
694
            synthetic_task_processArgs ( argc, argv );
695
            return;
696 32 alirezamon
 
697 56 alirezamon
        } else if( strcmp(argv[i], "-F") == 0 ) {
698
            netrace_processArgs (argc, argv );
699
            return;
700
        } else if ( strcmp(argv[i], "-S") == 0 ) {
701
            synful_processArgs (argc, argv );
702
            return;
703
        }
704
    }
705
    fprintf (stderr, "You should pass one of the Synthetic-, Task-, Synfull- or Nettrace- based simulation as input argument. \n");
706
    usage(argv[0]);
707
    exit(1);
708 48 alirezamon
}
709 32 alirezamon
 
710 43 alirezamon
 
711 48 alirezamon
int get_new_pck_size(){
712 56 alirezamon
        if(pck_size_sel ==  RANDOM_discrete){
713
                int rnd = rand() % 100; // 0~99
714
                int i=0;
715
                while( rnd > discrete_prob[i] ) i++;
716
                return discrete_size [i];
717
        }
718
        //random range
719
        return rnd_between(MIN_PACKET_SIZE,MAX_PACKET_SIZE);
720 48 alirezamon
}
721 32 alirezamon
 
722
 
723
 
724
 
725 48 alirezamon
 
726
 
727
void traffic_gen_final_report(){
728 56 alirezamon
    int i;
729
    for (i=0;i<NE;i++) if(traffic[i]->pck_number>0) total_active_endp       =     total_active_endp +1;
730
    printf("\nsimulation results-------------------\n");
731
    printf("\tSimulation clock cycles:%d\n",clk_counter);
732
    printf("\n\tTotal received packet in different size:\n");
733
    printf("\tflit_size,");
734
    for (i=0;i<=(MAX_PACKET_SIZE - MIN_PACKET_SIZE);i++){
735
        if(rsv_size_array[i]>0) printf("%u,",i+ MIN_PACKET_SIZE);
736
    }
737
    printf("\n\t#pck,");
738
    for (i=0;i<=(MAX_PACKET_SIZE - MIN_PACKET_SIZE);i++){
739
           if(rsv_size_array[i]>0) printf("%u,",rsv_size_array[i]);
740
    }
741
    printf("\n");
742 48 alirezamon
 
743 56 alirezamon
//    printf(" total received flits:%d\n",total_rsv_flit_number);
744
//    printf(" total sent flits:%d\n",total_sent_flit_number);
745
    print_statistic_new (clk_counter);
746 48 alirezamon
 
747 32 alirezamon
}
748
 
749
 
750 48 alirezamon
void traffic_gen_init( void ){
751 56 alirezamon
    int i;
752
    unsigned int dest_e_addr;
753
    for (i=0;i<NE;i++){
754
            unsigned char inject_en;
755
            random_var[i] = 100;
756
            traffic[i]->current_e_addr        = endp_addr_encoder(i);
757
            traffic[i]->start=0;
758
            traffic[i]->pck_class_in=  pck_class_in_gen( i);
759
            traffic[i]->pck_size_in=get_new_pck_size();
760
            pck_dst_gen (i, &inject_en);
761
            //traffic[i]->dest_e_addr= dest_e_addr;
762
            if(inject_en == 0) traffic[i]->stop=1;
763
            //printf("src=%u, des_eaddr=%x, dest=%x\n", i,dest_e_addr, endp_addr_decoder(dest_e_addr));
764
            if(inject_done) traffic[i]->stop=1;
765
            traffic[i]->start_delay=rnd_between(10,500);
766
            if(TRAFFIC_TYPE==SYNTHETIC){
767
                //traffic[i]->avg_pck_size_in=AVG_PACKET_SIZE;
768
                traffic[i]->ratio=ratio;
769
                traffic[i]->init_weight=1;
770
            }
771
    }
772 48 alirezamon
}
773 32 alirezamon
 
774 54 alirezamon
void pck_inj_init (int model_node_num){
775 56 alirezamon
    int i,tmp;
776
    for (i=0;i<NE;i++){
777
           pck_inj[i]->current_e_addr        = endp_addr_encoder(i);
778
           pck_inj[i]->pck_injct_in_ready= (0x1<<V)-1;
779
           pck_inj[i]->pck_injct_in_pck_wr=0;
780
    }
781
    std::cout << "Node mapping---------------------" << std::endl;
782
    std::cout << "\tMapping " << model_node_num << " " << TRAFFIC  << " Nodes to " << NE << " ProNoC Nodes" << std::endl;
783
    std::cout << "\t" << TRAFFIC  << "\tID \t<-> ProNoC ID "<< std::endl;
784
    traffic_model_mapping = (int *) malloc( model_node_num * sizeof(int));
785
    for (i=0;i<model_node_num;i++){
786
    //TODO mapping should be done according to number of NE and should be set by the user later
787
               if(NE<=model_node_num){
788
                   // we have less or equal number of injectors in traffic model thatn the number of modes in ProNoC
789
                   // So we need to map multiples injector nodes from the model to one packet injector
790
                   tmp = ((i* NE)/model_node_num);
791
                   traffic_model_mapping[i]=tmp;
792
               } else {
793
                   // we have more endpoints that what is defined in the model
794
                   if(i<model_node_num) traffic_model_mapping[i]=i;
795
               }
796
               std::cout<< "\t\t" << i << "\t<->\t"  << tmp << std::endl;
797 32 alirezamon
 
798 56 alirezamon
    }
799
    std::cout << "Node mapping---------------------" << std::endl;
800 48 alirezamon
}
801
 
802 38 alirezamon
/*************
803 56 alirezamon
 * sc_time_stamp
804
 *
805 38 alirezamon
 * **********/
806 32 alirezamon
double sc_time_stamp () {       // Called by $time in Verilog
807 56 alirezamon
    return main_time;
808 32 alirezamon
}
809
 
810
int pow2( int num){
811 56 alirezamon
    int pw;
812
    pw= (0x1 << num);
813
    return pw;
814 32 alirezamon
}
815
 
816 48 alirezamon
/*
817
volatile int *  lock;
818
unsigned int  nr_per_thread=0;
819
unsigned int  ne_per_thread=0;
820 32 alirezamon
 
821 48 alirezamon
void thread_function (int n){
822 56 alirezamon
    int i;
823
    unsigned int node=0;
824
    while(1){
825
        while(lock[n]==0) std::this_thread::yield();
826
        for(i=0;i<nr_per_thread;i++){
827
            node= (n * nr_per_thread)+i;
828
            if (node >= NR) break;
829
            single_router_eval(node);
830
        }
831
        for(i=0;i<ne_per_thread;i++){
832
            node= (n * ne_per_thread)+i;
833
            if (node >= NE) break;
834
            if( TRAFFIC_TYPE == NETRACE)   pck_inj[node]->eval();
835
            else   traffic[node]->eval();
836
        }
837
 
838
        //router1[n]->eval();
839
        //if( TRAFFIC_TYPE == NETRACE)   pck_inj[n]->eval();
840
        //else   traffic[n]->eval();
841
 
842
        lock[n]=0;
843
        if(n==0) break;//first thread is the main process
844
    }
845 48 alirezamon
}
846
*/
847 32 alirezamon
 
848 48 alirezamon
class alignas(64) Vthread
849
{
850
    // Access specifier
851
    public:
852 56 alirezamon
    std::atomic<bool> eval;
853
    std::atomic<bool> copy;
854
    std::atomic<bool> update;
855 48 alirezamon
    // Data Members
856
    int n;//thread num
857 56 alirezamon
    int nr_per_thread;
858
    int ne_per_thread;
859 48 alirezamon
    // Member Functions()
860
    //Parameterized Constructor
861 56 alirezamon
 
862
 
863 48 alirezamon
    void function ( ){
864 56 alirezamon
        int i;
865
        unsigned int node=0;
866
        while(1){
867
            while(!eval && !copy && !update) std::this_thread::yield();
868
            if(eval){
869
                //connect_clk_reset_start
870
                for(i=0;i<ne_per_thread;i++){
871
                    node= (n * ne_per_thread)+i;
872
                    if (node >= NE) break;
873
                    if(ENDP_TYPE == PCK_INJECTOR){
874
                        pck_inj[node]->reset= reset;
875
                        pck_inj[node]->clk    = clk;
876
                    }
877
                    else {
878
                        traffic[node]->start= start_i;
879
                        traffic[node]->reset= reset;
880
                        traffic[node]->clk    = clk;
881
                    }
882
                }//endp
883
                for(i=0;i<nr_per_thread;i++){
884
                    node= (n * nr_per_thread)+i;
885
                    if (node >= NR) break;
886
                    //if(router_is_active[node] | (Quick_sim_en==0))
887
                    single_router_reset_clk(node);
888
                }
889 54 alirezamon
 
890 56 alirezamon
                //eval
891
                for(i=0;i<nr_per_thread;i++){
892
                    node= (n * nr_per_thread)+i;
893
                    if (node >= NR) break;
894
                    //if(router_is_active[node] | (Quick_sim_en==0))
895
                    single_router_eval(node);
896
                }
897
                for(i=0;i<ne_per_thread;i++){
898
                    node= (n * ne_per_thread)+i;
899
                    if (node >= NE) break;
900
                    if(ENDP_TYPE == PCK_INJECTOR)   pck_inj[node]->eval();
901
                    else   traffic[node]->eval();
902
                }
903
                eval=false;
904
            }
905 54 alirezamon
 
906 56 alirezamon
            if(copy){
907
                for  (int i=0;   i<R2R_TABLE_SIZ; i++) {
908
                    if(
909
                    r2r_cnt_all[i].id1 >= (n * nr_per_thread)
910
                    &&
911
                    r2r_cnt_all[i].id1 <  ((n+1) * nr_per_thread)
912
                    )
913
                    topology_connect_r2r(i);
914
                }
915 54 alirezamon
 
916
 
917 56 alirezamon
                for(i=0;i<ne_per_thread;i++){
918
                    node= (n * ne_per_thread)+i;
919
                    if (node >= NE) break;
920
                    topology_connect_r2e(node);
921
                }
922
                copy=false;
923
            }
924 54 alirezamon
 
925 56 alirezamon
            if(update){
926
                for(i=0;i<nr_per_thread;i++){
927
                    node= (n * nr_per_thread)+i;
928
                    if (node >= NR) break;
929
                    single_router_st_update(node);
930
                }
931
                update=false;
932
            }
933 54 alirezamon
 
934 56 alirezamon
            //router1[n]->eval();
935
            //if( TRAFFIC_TYPE == NETRACE)   pck_inj[n]->eval();
936
            //else   traffic[n]->eval();
937
 
938
 
939
            if(n==0) break;//first thread is the main process
940
        }
941
    }
942
 
943
    Vthread(int x,int r,int e)
944 48 alirezamon
    {
945
       n=x; nr_per_thread=r; ne_per_thread=e;
946 54 alirezamon
       eval=false;
947
       copy =false;
948
       update=false;
949 48 alirezamon
       if(n!=0) {
950 56 alirezamon
         std::thread th {&Vthread::function,this};
951
         th.detach();
952
       }
953 48 alirezamon
    }
954 56 alirezamon
 
955
 
956 48 alirezamon
};
957
 
958
Vthread ** thread;
959
 
960
void initial_threads (void){
961 56 alirezamon
    int i;
962
    //devide nodes equally between threads
963
    unsigned int  nr_per_thread=0;
964
    unsigned int  ne_per_thread=0;
965
    nr_per_thread = (NR % thread_num)?  (unsigned int)(NR/thread_num) + 1 :  (unsigned int)(NR/thread_num);
966
    ne_per_thread = (NE % thread_num)?  (unsigned int)(NE/thread_num) + 1 :  (unsigned int)(NE/thread_num);
967
 
968
    //std::vector<std::thread> threads(thread_num-1);
969
    //lock = new int[thread_num];
970
    //for(i=0;i<thread_num;i++) lock [i]=0;
971
 
972
    //Dynamically Allocating Memory
973
    thread = (Vthread **) new Vthread * [thread_num];
974
    for(i=0;i<thread_num;i++) thread[i] = new Vthread(i,nr_per_thread,ne_per_thread) ;
975
 
976
    //initiates (thread_num-1) number of live thread
977
    //for(i=0;i<thread_num-1;i++) threads[i] = std::thread(&thread_function, (i+1));
978
    //for (auto& th : threads)    th.detach();
979
 
980
    unsigned maxThreads = std::thread::hardware_concurrency();
981 48 alirezamon
    printf("Thread is initiated as following:\n"
982
    "\tMax hardware supported threads:%u\n"
983
    "\tthread_num:%u\n"
984
    "\trouter per thread:%u\n"
985
    "\tendpoint per thread:%u\n"
986
    ,maxThreads,thread_num,nr_per_thread,ne_per_thread);
987
}
988
 
989
 
990
 
991
 
992
void sim_eval_all (void){
993 56 alirezamon
    int i;
994
    if(thread_num>1) {
995
        for(i=0;i<thread_num;i++) thread[i]->eval=true;
996
        //thread_function (0);
997
        thread[0]->function();
998
        for(i=0;i<thread_num;i++)while(thread[i]->eval);
999
    }else{// no thread
1000 54 alirezamon
 
1001 56 alirezamon
        connect_clk_reset_start_all();
1002 54 alirezamon
 
1003 56 alirezamon
        //routers_eval();
1004
        for(i=0;i<NR;i++){
1005
            //if(router_is_active[i] | (Quick_sim_en==0))
1006
            single_router_eval(i);
1007
        }
1008
        if(ENDP_TYPE == PCK_INJECTOR) for(i=0;i<NE;i++) pck_inj[i]->eval();
1009
        else for(i=0;i<NE;i++) traffic[i]->eval();
1010
    }
1011
}
1012 48 alirezamon
 
1013 54 alirezamon
 
1014
void topology_connect_all_nodes (void){
1015
 
1016
 
1017 56 alirezamon
    int i;
1018
    if(thread_num>1) {
1019
        for(i=0;i<thread_num;i++) thread[i]->copy=true;
1020
        //thread_function (0);
1021
        thread[0]->function();
1022
        for(i=0;i<thread_num;i++){
1023
            while(thread[i]->copy==true);
1024
        }
1025
        return;
1026
    }//no thread
1027
    for  (int n=0; n<R2R_TABLE_SIZ; n++) {
1028
        topology_connect_r2r(n);
1029
    }
1030 54 alirezamon
 
1031 56 alirezamon
    for (int n=0;n<NE; n++){
1032
        topology_connect_r2e(n);
1033
    }
1034 54 alirezamon
}
1035
 
1036
 
1037 48 alirezamon
void sim_final_all (void){
1038 56 alirezamon
    int i;
1039
    routers_final();
1040
    if(ENDP_TYPE == PCK_INJECTOR) for(i=0;i<NE;i++) pck_inj[i]->final();
1041
    else for(i=0;i<NE;i++) traffic[i]->final();
1042
    //noc->final();
1043
}
1044 48 alirezamon
 
1045
void connect_clk_reset_start_all(void){
1046 56 alirezamon
    int i;
1047
    //noc-> clk = clk;
1048
    //noc-> reset = reset;
1049
    if(ENDP_TYPE == PCK_INJECTOR) {
1050
        for(i=0;i<NE;i++)    {
1051
            pck_inj[i]->reset= reset;
1052
            pck_inj[i]->clk    = clk;
1053
        }
1054
    }else {
1055
        for(i=0;i<NE;i++)    {
1056
            traffic[i]->start= start_i;
1057
            traffic[i]->reset= reset;
1058
            traffic[i]->clk    = clk;
1059
        }
1060
    }
1061
    connect_routers_reset_clk();
1062 48 alirezamon
}
1063
 
1064
 
1065
void traffic_clk_negedge_event(void){
1066 56 alirezamon
    int i;
1067
    clk = 0;
1068
    //for (i=0;i<NR;i++) router_is_active [i]=0;
1069
    topology_connect_all_nodes ();
1070 54 alirezamon
 
1071 56 alirezamon
    for (i=0;i<NE;i++){
1072
        if(inject_done) traffic[i]->stop=1;
1073
    }
1074 48 alirezamon
 
1075 56 alirezamon
    sim_eval_all();
1076
}
1077
 
1078 54 alirezamon
void update_traffic_injector_st (unsigned int i){
1079 56 alirezamon
    unsigned char inject_en;
1080
    // a packet has been received
1081
    if(traffic[i]->update & (main_time-saved_time >= 10 )){
1082
        total_rsv_pck_num+=1;
1083
        update_noc_statistic (i) ;
1084
    }
1085
    // the header flit has been sent out
1086
    if(traffic[i]->hdr_flit_sent ){
1087
        traffic[i]->pck_class_in=  pck_class_in_gen( i);
1088
        traffic[i]->pck_size_in=get_new_pck_size();
1089
        if((!FIXED_SRC_DST_PAIR)| (!IS_UNICAST)){
1090
            pck_dst_gen (i, &inject_en);
1091
            //traffic[i]->dest_e_addr= dest_e_addr;
1092
            if(inject_en == 0) traffic[i]->stop=1;
1093
            //printf("src=%u, dest=%x\n", i,endp_addr_decoder(dest_e_addr));
1094
        }
1095
    }
1096 48 alirezamon
 
1097 56 alirezamon
    if(traffic[i]->flit_out_wr==1){
1098
        total_sent_flit_number++;
1099
        if (!IS_UNICAST){
1100
            total_expect_rsv_flit_num+=traffic[i]->mcast_dst_num_o;
1101
        }else{
1102
            total_expect_rsv_flit_num++;
1103
        }
1104
        #if (C>1)
1105
            sent_stat [i][traffic[i]->flit_out_class].flit_num++;
1106
        #else
1107
        sent_stat [i].flit_num++;
1108
        #endif
1109
    }
1110 48 alirezamon
 
1111 56 alirezamon
    if(traffic[i]->flit_in_wr==1){
1112
        total_rsv_flit_number++;
1113
    }
1114 48 alirezamon
 
1115 56 alirezamon
    if(traffic[i]->hdr_flit_sent==1){
1116
        total_sent_pck_num++;
1117
        #if (C>1)
1118
            sent_stat [i][traffic[i]->flit_out_class].pck_num++;
1119
        #else
1120
            sent_stat [i].pck_num++;
1121
        #endif
1122
    }
1123 54 alirezamon
}
1124
 
1125
void update_all_traffic_injector_st(){
1126 56 alirezamon
    for (int i=0;i<NE;i++){
1127
            update_traffic_injector_st(i);
1128
        }
1129 54 alirezamon
 
1130
}
1131
 
1132
 
1133
 
1134 48 alirezamon
void traffic_clk_posedge_event(void) {
1135 56 alirezamon
    int i;
1136
    unsigned int dest_e_addr;
1137 54 alirezamon
 
1138 56 alirezamon
    clk = 1;       // Toggle clock
1139
    if(count_en) clk_counter++;
1140
    inject_done= ((total_sent_pck_num >= end_sim_pck_num) || (clk_counter>= sim_end_clk_num) || total_active_routers == 0);
1141
    //if(inject_done) printf("clk_counter=========%d\n",clk_counter);
1142
    total_rsv_flit_number_old=total_rsv_flit_number;
1143
    update_all_router_stat();
1144
    update_all_traffic_injector_st();
1145 48 alirezamon
 
1146 56 alirezamon
    if(inject_done){
1147
        if(total_rsv_flit_number_old == total_rsv_flit_number){
1148
            ideal_rsv_cnt++;
1149
            if(ideal_rsv_cnt >= NE*10){
1150
                traffic_gen_final_report( );
1151
                fprintf(stderr,"ERROR: The number of expected (%u) & received flits (%u) were not equal at the end of simulation\n",total_expect_rsv_flit_num, total_rsv_flit_number);
1152
                exit(1);
1153
            }
1154
        }else ideal_rsv_cnt=0;
1155
        if(total_expect_rsv_flit_num == total_rsv_flit_number ) simulation_done=1;
1156
    }
1157 48 alirezamon
 
1158 56 alirezamon
    sim_eval_all();
1159 48 alirezamon
 
1160 56 alirezamon
}
1161 48 alirezamon
 
1162 56 alirezamon
 
1163 32 alirezamon
/**********************************
1164
 *
1165 56 alirezamon
 *     update_noc_statistic
1166 32 alirezamon
 *
1167
 *
1168
 *********************************/
1169
 
1170 54 alirezamon
void update_rsvd_st (
1171 56 alirezamon
        statistic_t *     rsvd_stat,
1172
        unsigned int       clk_num_h2h,
1173
        unsigned int    clk_num_h2t,
1174
        unsigned int     latency,
1175
        unsigned int    distance,
1176
        unsigned int    pck_size
1177 48 alirezamon
 
1178 54 alirezamon
) {
1179 56 alirezamon
    rsvd_stat->pck_num ++;
1180
    rsvd_stat->flit_num+=  pck_size;
1181
    rsvd_stat->sum_clk_h2h +=(double)clk_num_h2h;
1182
    rsvd_stat->sum_clk_h2t +=(double)clk_num_h2t;
1183
    rsvd_stat->sum_clk_per_hop+= ((double)clk_num_h2h/(double)distance);
1184
    if (rsvd_stat->worst_latency < latency ) rsvd_stat->worst_latency=latency;
1185
    if (rsvd_stat->min_latency==0          ) rsvd_stat->min_latency  =latency;
1186
    if (rsvd_stat->min_latency   > latency ) rsvd_stat->min_latency  =latency;
1187
    #if (STND_DEV_EN)
1188
          rsvd_stat->sum_clk_pow2 += (double)clk_num_h2h * (double) clk_num_h2h;
1189
    #endif
1190 54 alirezamon
}
1191 48 alirezamon
 
1192 54 alirezamon
void update_sent_st (
1193 56 alirezamon
    statistic_t *  sent_stat,
1194
    unsigned int     latency
1195 54 alirezamon
) {
1196
 
1197 56 alirezamon
    if (sent_stat->worst_latency < latency ) sent_stat->worst_latency=latency;
1198
    if (sent_stat->min_latency==0          ) sent_stat->min_latency  =latency;
1199
    if (sent_stat->min_latency   > latency ) sent_stat->min_latency  =latency;
1200 54 alirezamon
 
1201
}
1202
 
1203
 
1204 48 alirezamon
void update_statistic_at_ejection (
1205 56 alirezamon
    int    core_num,
1206
    unsigned int       clk_num_h2h,
1207
    unsigned int    clk_num_h2t,
1208
    unsigned int    distance,
1209
    unsigned int      class_num,
1210
    unsigned int     src,
1211
    unsigned int    pck_size
1212
    ){
1213 48 alirezamon
 
1214
 
1215
 
1216 56 alirezamon
    if(ENDP_TYPE == TRFC_INJECTOR) {
1217
        if( traffic[core_num]->pck_size_o >= MIN_PACKET_SIZE && traffic[core_num]->pck_size_o <=MAX_PACKET_SIZE){
1218
              if(rsv_size_array!=NULL)     rsv_size_array[traffic[core_num]->pck_size_o-MIN_PACKET_SIZE]++;
1219
        }
1220
    }
1221 48 alirezamon
 
1222 56 alirezamon
    if(verbosity==0 && ( TRAFFIC_TYPE == NETRACE || TRAFFIC_TYPE ==SYNFUL)) if((total_rsv_pck_num & 0X1FFFF )==0 ) printf(" packet sent total=%d\n",total_rsv_pck_num);
1223 48 alirezamon
    unsigned int latency = (strcmp (AVG_LATENCY_METRIC,"HEAD_2_TAIL")==0)? clk_num_h2t :  clk_num_h2h;
1224
    #if(C>1)
1225 56 alirezamon
        update_rsvd_st ( &rsvd_stat[core_num][class_num],      clk_num_h2h,   clk_num_h2t,     latency,    distance,pck_size);
1226
        update_sent_st ( &sent_stat[src     ][class_num],      latency);
1227 54 alirezamon
    #else
1228 56 alirezamon
        update_rsvd_st ( &rsvd_stat[core_num], clk_num_h2h,   clk_num_h2t,     latency,    distance,pck_size);
1229
        update_sent_st ( &sent_stat[src     ], latency);
1230
    #endif
1231 48 alirezamon
 
1232 56 alirezamon
    update_rsvd_st ( &endp_to_endp[src][core_num],      clk_num_h2h,   clk_num_h2t,     latency,    distance, pck_size);
1233 48 alirezamon
 
1234 54 alirezamon
}
1235 48 alirezamon
 
1236
 
1237
 
1238
 
1239
 
1240 56 alirezamon
void update_noc_statistic (    int    core_num){
1241
    unsigned int       clk_num_h2h =traffic[core_num]->time_stamp_h2h;
1242
    unsigned int    clk_num_h2t =traffic[core_num]->time_stamp_h2t;
1243 48 alirezamon
    unsigned int    distance=traffic[core_num]->distance;
1244 56 alirezamon
    unsigned int      class_num=traffic[core_num]->pck_class_out;
1245 48 alirezamon
    unsigned int    src_e_addr=traffic[core_num]->src_e_addr;
1246 56 alirezamon
    unsigned int     src = endp_addr_decoder (src_e_addr);
1247 54 alirezamon
    unsigned int    pck_size = traffic[core_num]-> pck_size_o;
1248 56 alirezamon
    update_statistic_at_ejection ( core_num,    clk_num_h2h,  clk_num_h2t,  distance,      class_num,     src,pck_size);
1249 32 alirezamon
 
1250
 
1251 48 alirezamon
}
1252
 
1253
avg_st_t finilize_statistic (unsigned long int total_clk, statistic_t rsvd_stat){
1254
 
1255 56 alirezamon
     avg_st_t avg_statistic;
1256
     avg_statistic.avg_throughput= ((double)(rsvd_stat.flit_num*100)/NE )/total_clk;
1257
     avg_statistic.avg_latency_flit    = rsvd_stat.sum_clk_h2h/rsvd_stat.pck_num;
1258
     avg_statistic.avg_latency_pck       = rsvd_stat.sum_clk_h2t/rsvd_stat.pck_num;
1259
     avg_statistic.avg_latency_per_hop = ( rsvd_stat.pck_num==0)? 0 : rsvd_stat.sum_clk_per_hop/rsvd_stat.pck_num;
1260
     avg_statistic.avg_pck_siz        = ( rsvd_stat.pck_num==0)? 0 : (double)(rsvd_stat.flit_num / rsvd_stat.pck_num);
1261
     #if (STND_DEV_EN)
1262
          avg_statistic.std_dev =standard_dev( rsvd_stat.sum_clk_pow2,rsvd_stat.pck_num, avg_statistic.avg_latency_flit);
1263
     #endif
1264
     return avg_statistic;
1265 48 alirezamon
}
1266
 
1267
template<typename T>
1268 56 alirezamon
    void myout(T value)
1269
    {
1270
       std::cout << value << std::endl;
1271
    }
1272 48 alirezamon
template<typename First, typename ... Rest>
1273 56 alirezamon
    void myout(First first, Rest ... rest)
1274
    {
1275
       std::cout << first << ",";
1276
       myout(rest...);
1277
    }
1278 48 alirezamon
 
1279
void print_st_single (unsigned long int total_clk, statistic_t rsvd_stat, statistic_t sent_stat){
1280
 
1281
 
1282
 
1283 56 alirezamon
    avg_st_t avg;
1284
    avg=finilize_statistic (total_clk,  rsvd_stat);
1285 48 alirezamon
 
1286 56 alirezamon
    myout(
1287
            sent_stat.pck_num,
1288
            rsvd_stat.pck_num,
1289
            sent_stat.flit_num,
1290
            rsvd_stat.flit_num,
1291
            sent_stat.worst_latency,
1292
            rsvd_stat.worst_latency,
1293
            sent_stat.min_latency,
1294
            rsvd_stat.min_latency,
1295
            avg.avg_latency_per_hop,
1296
            avg.avg_latency_flit,
1297
            avg.avg_latency_pck,
1298
            avg.avg_throughput,
1299
            avg.avg_pck_siz,
1300
            #if (STND_DEV_EN)
1301
            avg.std_dev
1302
            #endif
1303
    );
1304
//    printf("\n");
1305 48 alirezamon
 
1306
}
1307
 
1308
 
1309
void merge_statistic (statistic_t * merge_stat, statistic_t stat_in){
1310 56 alirezamon
    merge_stat->pck_num+=stat_in.pck_num;
1311
    merge_stat->flit_num+=stat_in.flit_num;
1312
    if(merge_stat->worst_latency <  stat_in.worst_latency) merge_stat->worst_latency= stat_in.worst_latency;
1313
    if(merge_stat->min_latency   == 0                       ) merge_stat->min_latency  = stat_in.min_latency;
1314
    if(merge_stat->min_latency   > stat_in.min_latency  && stat_in.min_latency!=0   ) merge_stat->min_latency  = stat_in.min_latency;
1315
    merge_stat->sum_clk_h2h      +=stat_in.sum_clk_h2h    ;
1316
    merge_stat->sum_clk_h2t      +=stat_in.sum_clk_h2t    ;
1317
    merge_stat->sum_clk_per_hop  +=stat_in.sum_clk_per_hop;
1318
    #if (STND_DEV_EN)
1319
        merge_stat->sum_clk_pow2 +=stat_in.sum_clk_pow2;
1320 48 alirezamon
    #endif
1321
 
1322
}
1323
 
1324
void print_statistic_new (unsigned long int total_clk){
1325 56 alirezamon
    int i;
1326 54 alirezamon
 
1327
 
1328 56 alirezamon
    print_router_st();
1329
    print_endp_to_endp_st("pck_num");
1330
    print_endp_to_endp_st("flit_num");
1331 54 alirezamon
 
1332 56 alirezamon
    printf( "\n\tEndpoints Statistics:\n"
1333
            "\t#EID,"
1334
            "sent_stat.pck_num,"
1335
            "rsvd_stat.pck_num,"
1336
            "sent_stat.flit_num,"
1337
            "rsvd_stat.flit_num,"
1338
            "sent_stat.worst_latency,"
1339
            "rsvd_stat.worst_latency,"
1340
            "sent_stat.min_latency,"
1341
            "rsvd_stat.min_latency,"
1342
            "avg_latency_per_hop,"
1343
            "avg_latency_flit,"
1344
            "avg_latency_pck,"
1345
            "avg_throughput(%%),"
1346
            "avg_pck_size,"
1347
            #if (STND_DEV_EN)
1348
            "avg.std_dev"
1349
            #endif
1350
            "\n");
1351 48 alirezamon
 
1352
 
1353
 
1354
#if(C>1)
1355 56 alirezamon
    int c;
1356
    statistic_t sent_stat_class [NE];
1357
    statistic_t rsvd_stat_class [NE];
1358
    statistic_t sent_stat_per_class [C];
1359
    statistic_t rsvd_stat_per_class [C];
1360 48 alirezamon
 
1361 56 alirezamon
    memset (&rsvd_stat_class,0,sizeof(statistic_t)*NE);
1362
    memset (&sent_stat_class,0,sizeof(statistic_t)*NE);
1363
    memset (&rsvd_stat_per_class,0,sizeof(statistic_t)*C);
1364
    memset (&sent_stat_per_class,0,sizeof(statistic_t)*C);
1365 48 alirezamon
 
1366
 
1367 56 alirezamon
    for (i=0; i<NE;i++){
1368
        for (c=0; c<C;c++){
1369
            merge_statistic (&rsvd_stat_class[i],rsvd_stat[i][c]);
1370
            merge_statistic (&sent_stat_class[i],sent_stat[i][c]);
1371
            merge_statistic (&rsvd_stat_per_class[c],rsvd_stat[i][c]);
1372
            merge_statistic (&sent_stat_per_class[c],sent_stat[i][c]);
1373
        }
1374
    }
1375 48 alirezamon
 
1376
 
1377
 
1378
 
1379
#else
1380 56 alirezamon
    #define sent_stat_class  sent_stat
1381
    #define rsvd_stat_class  rsvd_stat
1382 32 alirezamon
#endif
1383
 
1384 48 alirezamon
 
1385
 
1386
 
1387
 
1388 56 alirezamon
    statistic_t rsvd_stat_total, sent_stat_total;
1389
    memset (&rsvd_stat_total,0,sizeof(statistic_t));
1390
    memset (&sent_stat_total,0,sizeof(statistic_t));
1391
    for (i=0; i<NE;i++){
1392
        merge_statistic (&rsvd_stat_total,rsvd_stat_class[i]);
1393
        merge_statistic (&sent_stat_total,sent_stat_class[i]);
1394
    }
1395
    printf("\ttotal,");
1396
    print_st_single (total_clk, rsvd_stat_total,sent_stat_total);
1397 48 alirezamon
 
1398
#if(C>1)
1399 56 alirezamon
    for (c=0; c<C;c++){
1400
        printf("\ttotal_class%u,",c);
1401
        print_st_single (total_clk, rsvd_stat_per_class[c],sent_stat_per_class[c]);
1402
    }
1403 32 alirezamon
#endif
1404 48 alirezamon
 
1405
    for (i=0; i<NE;i++){
1406 56 alirezamon
        printf("\t%u,",i);
1407
        print_st_single (total_clk, rsvd_stat_class[i],sent_stat_class[i] );
1408 48 alirezamon
    }
1409 32 alirezamon
 
1410
 
1411
 
1412
 
1413
 
1414 54 alirezamon
}
1415 48 alirezamon
 
1416
 
1417
 
1418
 
1419 32 alirezamon
 
1420
 
1421 54 alirezamon
 
1422 32 alirezamon
void print_parameter (){
1423 56 alirezamon
    printf ("NoC parameters:---------------- \n");
1424
    printf ("\tTopology: %s\n",TOPOLOGY);
1425
    printf ("\tRouting algorithm: %s\n",ROUTE_NAME);
1426
    printf ("\tVC_per port: %d\n", V);
1427
    printf ("\tNon-local port buffer_width per VC: %d\n", B);
1428
    printf ("\tLocal port buffer_width per VC: %d\n", LB);
1429 54 alirezamon
 
1430 56 alirezamon
    #if defined (IS_MESH) || defined (IS_FMESH) || defined (IS_TORUS)
1431
        printf ("\tRouter num in row: %d \n",T1);
1432
        printf ("\tRouter num in column: %d \n",T2);
1433
        printf ("\tEndpoint num per router: %d\n",T3);
1434
    #elif defined (IS_LINE) || defined (IS_RING )
1435
        printf ("\tTotal Router num: %d \n",T1);
1436
        printf ("\tEndpoint num per router: %d\n",T3);
1437
    #elif defined (IS_FATTREE) || defined (IS_TREE)
1438
        printf ("\tK: %d \n",T1);
1439
        printf ("\tL: %d \n",T2);
1440
    #elif defined (IS_STAR)
1441
        printf ("\tTotal Endpoints number: %d \n",T1);
1442
    #else//CUSTOM
1443
        printf ("\tTotal Endpoints number: %d \n",T1);
1444
        printf ("\tTotal Routers number: %d \n",T2);
1445 54 alirezamon
    #endif
1446
 
1447 56 alirezamon
    printf ("\tNumber of Class: %d\n", C);
1448
    printf ("\tFlit data width: %d \n", Fpay);
1449
    printf ("\tVC reallocation mechanism: %s \n",  VC_REALLOCATION_TYPE);
1450
    printf ("\tVC/sw combination mechanism: %s \n", COMBINATION_TYPE);
1451
    printf ("\tAVC_ATOMIC_EN:%d \n", AVC_ATOMIC_EN);
1452
    printf ("\tCongestion Index:%d \n",CONGESTION_INDEX);
1453
    printf ("\tADD_PIPREG_AFTER_CROSSBAR:%d\n",ADD_PIPREG_AFTER_CROSSBAR);
1454
    printf ("\tSSA_EN enabled:%s \n",SSA_EN);
1455
    printf ("\tSwitch allocator arbitration type:%s \n",SWA_ARBITER_TYPE);
1456
    printf ("\tMinimum supported packet size:%d flit(s) \n",MIN_PCK_SIZE);
1457
    printf ("\tLoop back is enabled:%s \n",SELF_LOOP_EN);
1458
    printf ("\tNumber of multihop bypass (SMART max):%d \n",SMART_MAX);
1459
    printf ("\tCastying type:%s.\n",CAST_TYPE);
1460
    if (IS_MCAST_PARTIAL){
1461
        printf ("\tCAST LIST:%s\n",MCAST_ENDP_LIST);
1462
    }
1463
    printf ("NoC parameters:---------------- \n");
1464
    printf ("\nSimulation parameters-------------\n");
1465
    #if(DEBUG_EN)
1466
        printf ("\tDebuging is enabled\n");
1467
    #else
1468
        printf ("\tDebuging is disabled\n");
1469
    #endif   
1470
 
1471
    //if(strcmp (AVG_LATENCY_METRIC,"HEAD_2_TAIL")==0)printf ("\tOutput is the average latency on sending the packet header until receiving tail\n");
1472
    //else printf ("\tOutput is the average latency on sending the packet header until receiving header flit at destination node\n");
1473
    printf ("\tTraffic pattern:%s\n",TRAFFIC);
1474
    size_t n = sizeof(class_percentage)/sizeof(class_percentage[0]);
1475
    for(int p=0;p<n; p++){
1476
        printf ("\ttraffic percentage of class %u is : %d\n",p,  class_percentage[p]);
1477
    }
1478
    if(strcmp (TRAFFIC,"HOTSPOT")==0){
1479
        //printf ("\tHot spot percentage: %u\n", HOTSPOT_PERCENTAGE);
1480
        printf ("\tNumber of hot spot cores: %d\n", HOTSPOT_NUM);
1481
    }
1482
    if (strcmp (CAST_TYPE,"UNICAST")){
1483
        printf ("\tMULTICAST traffic ratio: %d(%%), min: %d, max: %d\n", mcast.ratio,mcast.min,mcast.max);
1484
    }
1485 32 alirezamon
 
1486 54 alirezamon
 
1487 56 alirezamon
    //printf ("\tTotal packets sent by one router: %u\n", TOTAL_PKT_PER_ROUTER);
1488
    if(sim_end_clk_num!=0) printf ("\tSimulation timeout =%d\n", sim_end_clk_num);
1489
    if(end_sim_pck_num!=0) printf ("\tSimulation ends on total packet num of =%d\n", end_sim_pck_num);
1490
    if(TRAFFIC_TYPE!=NETRACE && TRAFFIC_TYPE!=SYNFUL){
1491
        printf ("\tPacket size (min,max,average) in flits: (%u,%u,%u)\n",MIN_PACKET_SIZE,MAX_PACKET_SIZE,AVG_PACKET_SIZE);
1492
        printf ("\tPacket injector FIFO width in flit:%u \n",TIMSTMP_FIFO_NUM);
1493
    }
1494
    if( TRAFFIC_TYPE == SYNTHETIC) printf("\tFlit injection ratio per router is =%f (flits/clk/Total Endpoint %%)\n",(float)ratio*100/MAX_RATIO);
1495
    printf ("Simulation parameters-------------\n");
1496 54 alirezamon
 
1497
 
1498
 
1499 32 alirezamon
}
1500
 
1501
 
1502 38 alirezamon
 
1503
 
1504
 
1505 32 alirezamon
/************************
1506
 *
1507 56 alirezamon
 *     reset system
1508 32 alirezamon
 *
1509
 *
1510
 * *******************/
1511
 
1512
void reset_all_register (void){
1513 56 alirezamon
    int i;
1514
     total_active_endp=0;
1515
     total_rsv_pck_num=0;
1516
     total_sent_pck_num=0;
1517
     sum_clk_h2h=0;
1518
     sum_clk_h2t=0;
1519
     ideal_rsv_cnt=0;
1520 32 alirezamon
#if (STND_DEV_EN)
1521 56 alirezamon
     sum_clk_pow2=0;
1522 32 alirezamon
#endif
1523
 
1524 56 alirezamon
     sum_clk_per_hop=0;
1525
     count_en=0;
1526
     clk_counter=0;
1527 32 alirezamon
 
1528 56 alirezamon
     for(i=0;i<C;i++)
1529
     {
1530
         total_rsv_pck_num_per_class[i]=0;
1531
         sum_clk_h2h_per_class[i]=0;
1532
         sum_clk_h2t_per_class[i]=0;
1533
          sum_clk_per_hop_per_class[i]=0;
1534 32 alirezamon
#if (STND_DEV_EN)
1535 56 alirezamon
          sum_clk_pow2_per_class[i]=0;
1536 32 alirezamon
#endif
1537
 
1538 56 alirezamon
     }  //for
1539
     total_sent_flit_number=0;
1540
     total_expect_rsv_flit_num=0;
1541 48 alirezamon
 
1542
 
1543 32 alirezamon
}
1544
 
1545
 
1546
 
1547 56 alirezamon
 
1548 32 alirezamon
/***********************
1549
 *
1550 56 alirezamon
 *     standard_dev
1551 32 alirezamon
 *
1552
 * ******************/
1553
 
1554
#if (STND_DEV_EN)
1555 42 alirezamon
/************************
1556
 * std_dev = sqrt[(B-A^2/N)/N]  = sqrt [(B/N)- (A/N)^2] = sqrt [B/N - mean^2]
1557
 * A = sum of the values
1558 56 alirezamon
 * B = sum of the squarded values
1559 42 alirezamon
 * *************/
1560 32 alirezamon
 
1561
double standard_dev( double sum_pow2, unsigned int  total_num, double average){
1562 56 alirezamon
    double std_dev;
1563 32 alirezamon
 
1564 56 alirezamon
    /*
1565
    double  A, B, N;
1566
    N= total_num;
1567
    A= average * N;
1568
    B= sum_pow2;
1569 32 alirezamon
 
1570 56 alirezamon
    A=(A*A)/N;
1571
    std_dev = (B-A)/N;
1572
    std_dev = sqrt(std_dev);
1573
*/
1574
    if(total_num==0) return 0;
1575 42 alirezamon
 
1576 56 alirezamon
    std_dev = sum_pow2/(double)total_num; //B/N
1577
    std_dev -= (average*average);// (B/N) - mean^2
1578
    std_dev = sqroot(std_dev);// sqrt [B/N - mean^2]
1579 32 alirezamon
 
1580 56 alirezamon
    return std_dev;
1581
 
1582 32 alirezamon
}
1583
 
1584
#endif
1585
 
1586
 
1587
 
1588
/**********************
1589
 *
1590 56 alirezamon
 *    pck_class_in_gen
1591 32 alirezamon
 *
1592
 * *****************/
1593
 
1594
unsigned char  pck_class_in_gen(
1595 56 alirezamon
     unsigned int  core_num
1596 32 alirezamon
 
1597
) {
1598 56 alirezamon
    unsigned char pck_class_in;
1599
    unsigned char  rnd=rand()%100;
1600
    int c=0;
1601
    int sum=class_percentage[0];
1602
    size_t n = sizeof(class_percentage)/sizeof(class_percentage[0]);
1603
    for(;;){
1604
        if( rnd < sum) return c;
1605
        if( c==n-1 ) return c;
1606
        c++;
1607
        sum+=class_percentage[c];
1608
    }
1609
    return 0;
1610 32 alirezamon
}
1611
 
1612 38 alirezamon
 
1613 32 alirezamon
 
1614
 
1615 38 alirezamon
void update_injct_var(unsigned int src,  unsigned int injct_var){
1616 56 alirezamon
    //printf("before%u=%u\n",src,random_var[src]);
1617
    random_var[src]= rnd_between(100-injct_var, 100+injct_var);
1618
    //printf("after=%u\n",random_var[src]);
1619 38 alirezamon
}
1620
 
1621 54 alirezamon
unsigned int pck_dst_gen_task_graph ( unsigned int src, unsigned char * inject_en){
1622 56 alirezamon
     task_t  task;
1623
    float f,v;
1624
    *inject_en=1;
1625
    int index = task_graph_abstract[src].active_index;
1626 38 alirezamon
 
1627 56 alirezamon
    if(index == DISABLE){
1628
        traffic[src]->ratio=0;
1629
        traffic[src]->stop=1;
1630
        *inject_en=0;
1631
        return INJECT_OFF; //disable sending
1632
    }
1633 38 alirezamon
 
1634 56 alirezamon
    if(    read(task_graph_data[src],index,&task)==0){
1635
        traffic[src]->ratio=0;
1636
        traffic[src]->stop=1;
1637
        *inject_en=0;
1638
        return INJECT_OFF; //disable sending
1639 38 alirezamon
 
1640 56 alirezamon
    }
1641 38 alirezamon
 
1642 54 alirezamon
#if (C>1)
1643 56 alirezamon
    if(sent_stat[src][traffic[src]->flit_out_class].pck_num & 0xFF){//sent 255 packets
1644 54 alirezamon
#else
1645 56 alirezamon
    if(sent_stat[src].pck_num & 0xFF){//sent 255 packets
1646 54 alirezamon
#endif
1647
 
1648 56 alirezamon
            //printf("uu=%u\n",task.jnjct_var);
1649
            update_injct_var(src, task.jnjct_var);
1650 38 alirezamon
 
1651 56 alirezamon
        }
1652 38 alirezamon
 
1653 56 alirezamon
    task_graph_total_pck_num++;
1654
    task.pck_sent = task.pck_sent +1;
1655
    task.burst_sent= task.burst_sent+1;
1656
    task.byte_sent = task.byte_sent + (task.avg_pck_size * (Fpay/8) );
1657 38 alirezamon
 
1658 56 alirezamon
    traffic[src]->pck_class_in=  pck_class_in_gen(src);
1659
    //traffic[src]->avg_pck_size_in=task.avg_pck_size;
1660
    traffic[src]->pck_size_in=rnd_between(task.min_pck_size,task.max_pck_size);
1661 38 alirezamon
 
1662 56 alirezamon
    f=  task.injection_rate;
1663
    v= random_var[src];
1664
    f*= (v /100);
1665
    if(f>100) f= 100;
1666
    f=  f * MAX_RATIO / 100;
1667 38 alirezamon
 
1668 56 alirezamon
    traffic[src]->ratio=(unsigned int)f;
1669
    traffic[src]->init_weight=task.initial_weight;
1670 38 alirezamon
 
1671 56 alirezamon
    if (task.burst_sent >= task.burst_size){
1672
        task.burst_sent=0;
1673
        task_graph_abstract[src].active_index=task_graph_abstract[src].active_index+1;
1674
        if(task_graph_abstract[src].active_index>=task_graph_abstract[src].total_index) task_graph_abstract[src].active_index=0;
1675 38 alirezamon
 
1676 56 alirezamon
    }
1677 38 alirezamon
 
1678 56 alirezamon
    update_by_index(task_graph_data[src],index,task);
1679 38 alirezamon
 
1680 56 alirezamon
    if (task.byte_sent  >= task.bytes){ // This task is done remove it from the queue
1681
                remove_by_index(&task_graph_data[src],index);
1682
                task_graph_abstract[src].total_index = task_graph_abstract[src].total_index-1;
1683
                if(task_graph_abstract[src].total_index==0){ //all tasks are done turned off the core
1684
                    task_graph_abstract[src].active_index=-1;
1685
                    traffic[src]->ratio=0;
1686
                    traffic[src]->stop=1;
1687
                    if(total_active_routers!=0) total_active_routers--;
1688
                    *inject_en=0;
1689
                    return INJECT_OFF;
1690
                }
1691
                if(task_graph_abstract[src].active_index>=task_graph_abstract[src].total_index) task_graph_abstract[src].active_index=0;
1692
    }
1693 38 alirezamon
 
1694 56 alirezamon
    return endp_addr_encoder(task.dst);
1695 38 alirezamon
}
1696
 
1697
 
1698 54 alirezamon
void update_all_router_stat(void){
1699 56 alirezamon
    if(thread_num>1) {
1700
        int i;
1701
        for(i=0;i<thread_num;i++) thread[i]->update=true;
1702
        //thread_function (0);
1703
        thread[0]->function();
1704
        for(i=0;i<thread_num;i++)while(thread[i]->update==true);
1705
        return;
1706
    }
1707
    //no thread
1708
    for (int i=0; i<NR; i++) single_router_st_update(i);
1709 54 alirezamon
}
1710 38 alirezamon
 
1711 54 alirezamon
void update_router_st (
1712 56 alirezamon
        unsigned int Pnum,
1713
        unsigned int rid,
1714
        void * event,
1715
        size_t size
1716 54 alirezamon
){
1717 56 alirezamon
    unsigned int port_event;
1718 38 alirezamon
 
1719 56 alirezamon
    for (int p=0;p<Pnum;p++){
1720
        if (size == sizeof(unsigned char)) {
1721
            unsigned char * eventArr = (unsigned char *)event;
1722
            port_event = eventArr[p];
1723
        }
1724
        if (size == sizeof(short int)) {
1725
            unsigned short int * eventArr = (unsigned short int *)event;
1726
            port_event = eventArr[p];
1727
        }
1728
        if (size == sizeof(int)) {
1729
            unsigned int * eventArr = (unsigned int *)event;
1730
            port_event = eventArr[p];
1731
        }
1732
 
1733
 
1734
        if(port_event & FLIT_IN_WR_FLG ) router_stat [rid][p].flit_num_in++;
1735
        if(port_event & PCK_IN_WR_FLG  ) router_stat [rid][p].pck_num_in++;
1736
        if(port_event & FLIT_OUT_WR_FLG) router_stat [rid][p].flit_num_out++;
1737
        if(port_event & PCK_OUT_WR_FLG ) router_stat [rid][p].pck_num_out++;
1738
        if(port_event & FLIT_IN_BYPASSED)router_stat [rid][p].flit_num_in_bypassed++;
1739
        else if(port_event & FLIT_IN_WR_FLG){
1740
            router_stat [rid][p].flit_num_in_buffered++;
1741
            unsigned int bypassed_times = (port_event >> BYPASS_LSB);
1742
            router_stat [rid][p].bypass_counter[bypassed_times]++;
1743
        }
1744
    }
1745 54 alirezamon
}
1746 38 alirezamon
 
1747 54 alirezamon
 
1748
void print_router_st (void) {
1749
 
1750 56 alirezamon
    //report router statistic
1751
    printf("\n\n\tRouters' statistics:\n");
1752
    printf("\t#RID, #Port,"
1753
           "flit_in,"
1754
           "pck_in,"
1755
           "flit_out,"
1756
        "pck_out,"
1757
        "flit_in_buffered,"
1758
        "flit_in_bypassed,"
1759
    );
1760
    if(SMART_MAX>0) for (int k=0;k<SMART_MAX+1;k++) printf("bypsd_%0d_times,",k);
1761
    printf("\n");
1762 54 alirezamon
 
1763 56 alirezamon
    for (int i=0; i<NR; i++){
1764 54 alirezamon
 
1765 56 alirezamon
           for (int p=0;p<MAX_P;p++){
1766 54 alirezamon
 
1767 56 alirezamon
               printf("\t%u,%u,",i,p);
1768
               printf("%d,%d,%d,%d,%d,%d,",
1769
                router_stat [i][p].flit_num_in,
1770
                router_stat [i][p].pck_num_in,
1771
                router_stat [i][p].flit_num_out,
1772
                router_stat [i][p].pck_num_out,
1773
                router_stat [i][p].flit_num_in_buffered,
1774
                router_stat [i][p].flit_num_in_bypassed
1775
                );
1776
            if(SMART_MAX>0) for (int k=0;k<SMART_MAX+1;k++) printf("%d," ,router_stat [i][p].bypass_counter[k]);
1777
            printf("\n");
1778
            router_stat_accum [i].flit_num_in              += router_stat [i][p].flit_num_in;
1779
            router_stat_accum [i].pck_num_in               += router_stat [i][p].pck_num_in;
1780
            router_stat_accum [i].flit_num_out             += router_stat [i][p].flit_num_out;
1781
            router_stat_accum [i].pck_num_out              += router_stat [i][p].pck_num_out;
1782
            router_stat_accum [i].flit_num_in_buffered     += router_stat [i][p].flit_num_in_buffered;
1783
            router_stat_accum [i].flit_num_in_bypassed     += router_stat [i][p].flit_num_in_bypassed;
1784
            if(SMART_MAX>0) for (int k=0;k<SMART_MAX+1;k++) router_stat_accum [i].bypass_counter[k]+= router_stat [i][p].bypass_counter[k];
1785 54 alirezamon
 
1786 56 alirezamon
           }
1787
           printf("\t%u,total,",i);
1788
           printf("%d,%d,%d,%d,%d,%d,",
1789
        router_stat_accum [i].flit_num_in,
1790
        router_stat_accum [i].pck_num_in,
1791
        router_stat_accum [i].flit_num_out,
1792
        router_stat_accum [i].pck_num_out,
1793
        router_stat_accum [i].flit_num_in_buffered,
1794
        router_stat_accum [i].flit_num_in_bypassed
1795
           );
1796
           if(SMART_MAX>0) for (int k=0;k<SMART_MAX+1;k++) printf("%d," , router_stat_accum [i].bypass_counter[k]);
1797
           printf("\n");
1798
      }
1799 54 alirezamon
}
1800
 
1801
 
1802
void print_endp_to_endp_st(const char * st)  {
1803 56 alirezamon
    printf ("\n\tEndp_to_Endp %s:\n\t#EID,",st);
1804
    for (int src=0; src<NE; src++) printf ("%u,",src);
1805
    printf ("\n");
1806
    for (int src=0; src<NE; src++){
1807
        printf ("\t%u,",src);
1808
        for (int dst=0;dst<NE;dst++){
1809
            if(strcmp(st,"pck_num")==0)  printf("%u,",endp_to_endp[src][dst].pck_num);
1810
            if(strcmp(st,"flit_num")==0) printf("%u,",endp_to_endp[src][dst].flit_num);
1811
        }
1812
        printf ("\n");
1813
    }
1814 54 alirezamon
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.