OpenCores
URL https://opencores.org/ocsvn/aoocs/aoocs/trunk

Subversion Repositories aoocs

[/] [aoocs/] [trunk/] [doc/] [doxygen/] [html/] [drv__keyboard_8v_source.html] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
2
<html xmlns="http://www.w3.org/1999/xhtml">
3
<head>
4
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
5
<title>aoOCS: drv_keyboard.v Source File</title>
6
<link href="tabs.css" rel="stylesheet" type="text/css"/>
7
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
8
</head>
9
<body>
10
<!-- Generated by Doxygen 1.7.2 -->
11
<div class="navigation" id="top">
12
  <div class="tabs">
13
    <ul class="tablist">
14
      <li><a href="index.html"><span>Main&#160;Page</span></a></li>
15
      <li><a href="annotated.html"><span>Design&#160;Unit&#160;List</span></a></li>
16
      <li class="current"><a href="files.html"><span>Files</span></a></li>
17
    </ul>
18
  </div>
19
  <div class="tabs2">
20
    <ul class="tablist">
21
      <li><a href="files.html"><span>File&#160;List</span></a></li>
22
      <li><a href="globals.html"><span>File&#160;Members</span></a></li>
23
    </ul>
24
  </div>
25
<div class="header">
26
  <div class="headertitle">
27
<h1>drv_keyboard.v</h1>  </div>
28
</div>
29
<div class="contents">
30
<a href="drv__keyboard_8v.html">Go to the documentation of this file.</a><div class="fragment"><pre class="fragment"><a name="l00001"></a>00001  <span class="keyword">/*</span>
31
<a name="l00002"></a>00002 <span class="keyword">  Copyright 2010, Aleksander Osman, alfik@poczta.fm. All rights reserved.</span>
32
<a name="l00003"></a>00003 <span class="keyword"> </span>
33
<a name="l00004"></a>00004 <span class="keyword">  Redistribution and use in source and binary forms, with or without modification, are</span>
34
<a name="l00005"></a>00005 <span class="keyword">  permitted provided that the following conditions are met:</span>
35
<a name="l00006"></a>00006 <span class="keyword"> </span>
36
<a name="l00007"></a>00007 <span class="keyword">   1. Redistributions of source code must retain the above copyright notice, this list of</span>
37
<a name="l00008"></a>00008 <span class="keyword">      conditions and the following disclaimer.</span>
38
<a name="l00009"></a>00009 <span class="keyword"> </span>
39
<a name="l00010"></a>00010 <span class="keyword">   2. Redistributions in binary form must reproduce the above copyright notice, this list</span>
40
<a name="l00011"></a>00011 <span class="keyword">      of conditions and the following disclaimer in the documentation and/or other materials</span>
41
<a name="l00012"></a>00012 <span class="keyword">      provided with the distribution.</span>
42
<a name="l00013"></a>00013 <span class="keyword"> </span>
43
<a name="l00014"></a>00014 <span class="keyword">  THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS&#39;&#39; AND ANY EXPRESS OR IMPLIED</span>
44
<a name="l00015"></a>00015 <span class="keyword">  WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND</span>
45
<a name="l00016"></a>00016 <span class="keyword">  FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR</span>
46
<a name="l00017"></a>00017 <span class="keyword">  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR</span>
47
<a name="l00018"></a>00018 <span class="keyword">  CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR</span>
48
<a name="l00019"></a>00019 <span class="keyword">  SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON</span>
49
<a name="l00020"></a>00020 <span class="keyword">  ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING</span>
50
<a name="l00021"></a>00021 <span class="keyword">  NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF</span>
51
<a name="l00022"></a>00022 <span class="keyword">  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.</span>
52
<a name="l00023"></a>00023 <span class="keyword"> */</span>
53
<a name="l00024"></a>00024
54
<a name="l00025"></a>00025 <span class="keyword">/*! \file</span>
55
<a name="l00026"></a>00026 <span class="keyword">  \brief PS/2 keyboard driver.</span>
56
<a name="l00027"></a>00027 <span class="keyword"> */</span>
57
<a name="l00028"></a>00028
58
<a name="l00029"></a>00029 <span class="keyword">/*! \brief \copybrief drv_keyboard.v</span>
59
<a name="l00030"></a>00030 <span class="keyword">*/</span>
60
<a name="l00031"></a><a class="code" href="classdrv__keyboard.html">00031</a> <span class="vhdlkeyword">module</span> <a class="code" href="classdrv__keyboard.html">drv_keyboard</a>(
61
<a name="l00032"></a>00032     <span class="keyword">//% \name Clock and reset
62
</span>
63
<a name="l00033"></a>00033     <span class="keyword">//% @{</span>
64
<a name="l00034"></a><a class="code" href="classdrv__keyboard.html#a3ab4a7c2546e63bfd2539cca0a3b836e">00034</a>     <span class="vhdlkeyword">input</span>           <a class="code" href="classdrv__keyboard.html#a3ab4a7c2546e63bfd2539cca0a3b836e">clk_30</a>,
65
<a name="l00035"></a><a class="code" href="classdrv__keyboard.html#a67c405bf4935a7ee851d81f9e8352fcc">00035</a>     <span class="vhdlkeyword">input</span>           <a class="code" href="classdrv__keyboard.html#a67c405bf4935a7ee851d81f9e8352fcc">reset_n</a>,
66
<a name="l00036"></a>00036     <span class="keyword">//% @}</span>
67
<a name="l00037"></a>00037
68
<a name="l00038"></a>00038     <span class="keyword">//% \name On-Screen-Display management interface
69
</span>
70
<a name="l00039"></a>00039     <span class="keyword">//% @{</span>
71
<a name="l00040"></a><a class="code" href="classdrv__keyboard.html#a74146877b72ff30b9547f12797f04817">00040</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span>      <a class="code" href="classdrv__keyboard.html#a74146877b72ff30b9547f12797f04817">request_osd</a>,
72
<a name="l00041"></a><a class="code" href="classdrv__keyboard.html#ad95d76bce84445e6f09694f4e2907b2d">00041</a>     <span class="vhdlkeyword">input</span>           <a class="code" href="classdrv__keyboard.html#ad95d76bce84445e6f09694f4e2907b2d">enable_joystick_1</a>,
73
<a name="l00042"></a>00042     <span class="keyword">//% @}</span>
74
<a name="l00043"></a>00043
75
<a name="l00044"></a>00044     <span class="keyword">//% \name drv_keyboard interface
76
</span>
77
<a name="l00045"></a>00045     <span class="keyword">//% @{</span>
78
<a name="l00046"></a><a class="code" href="classdrv__keyboard.html#a9cb17b31f25edb0479c8efbd362bad01">00046</a>     <span class="vhdlkeyword">input</span>           <a class="code" href="classdrv__keyboard.html#a9cb17b31f25edb0479c8efbd362bad01">keyboard_ready</a>,
79
<a name="l00047"></a><a class="code" href="classdrv__keyboard.html#ae637bffa337936050e15a93e16b6bc03">00047</a>     <span class="vhdlkeyword">output</span>          <a class="code" href="classdrv__keyboard.html#ae637bffa337936050e15a93e16b6bc03">keyboard_event</a>,
80
<a name="l00048"></a><a class="code" href="classdrv__keyboard.html#ae2cf5186ad318e121a885a306bf24bf5">00048</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>]    <a class="code" href="classdrv__keyboard.html#ae2cf5186ad318e121a885a306bf24bf5">keyboard_scancode</a>,
81
<a name="l00049"></a>00049
82
<a name="l00050"></a>00050     <span class="keyword">// joystick on port 1</span>
83
<a name="l00051"></a><a class="code" href="classdrv__keyboard.html#a25c72c6ad008100bffc87876fb6ac8bf">00051</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span>      <a class="code" href="classdrv__keyboard.html#a25c72c6ad008100bffc87876fb6ac8bf">joystick_1_up</a>,
84
<a name="l00052"></a><a class="code" href="classdrv__keyboard.html#a02fb275883e79c410807c8b593e8c539">00052</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span>      <a class="code" href="classdrv__keyboard.html#a02fb275883e79c410807c8b593e8c539">joystick_1_down</a>,
85
<a name="l00053"></a><a class="code" href="classdrv__keyboard.html#a2cf898886e1c9612bff1b6010cda703a">00053</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span>      <a class="code" href="classdrv__keyboard.html#a2cf898886e1c9612bff1b6010cda703a">joystick_1_left</a>,
86
<a name="l00054"></a><a class="code" href="classdrv__keyboard.html#a76930ee59ae01f929eb3bda66fcfd6d4">00054</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span>      <a class="code" href="classdrv__keyboard.html#a76930ee59ae01f929eb3bda66fcfd6d4">joystick_1_right</a>,
87
<a name="l00055"></a><a class="code" href="classdrv__keyboard.html#a44c2817d6d3eb2cea0212d7ee4b1ca79">00055</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span>      <a class="code" href="classdrv__keyboard.html#a44c2817d6d3eb2cea0212d7ee4b1ca79">joystick_1_fire</a>,
88
<a name="l00056"></a>00056     <span class="keyword">//% @}</span>
89
<a name="l00057"></a>00057
90
<a name="l00058"></a>00058     <span class="keyword">//% \name PS/2 keyboard hardware interface
91
</span>
92
<a name="l00059"></a>00059     <span class="keyword">//% @{</span>
93
<a name="l00060"></a><a class="code" href="classdrv__keyboard.html#a9f2a290de5d4f591151290194a83266b">00060</a>     <span class="vhdlkeyword">inout</span>           <a class="code" href="classdrv__keyboard.html#a9f2a290de5d4f591151290194a83266b">ps2_kbclk</a>,
94
<a name="l00061"></a><a class="code" href="classdrv__keyboard.html#adc7a9f6a0f6c4bb99dd4936876dde986">00061</a>     <span class="vhdlkeyword">inout</span>           <a class="code" href="classdrv__keyboard.html#adc7a9f6a0f6c4bb99dd4936876dde986">ps2_kbdat</a>
95
<a name="l00062"></a>00062     <span class="keyword">//% @}</span>
96
<a name="l00063"></a>00063 );
97
<a name="l00064"></a>00064
98
<a name="l00065"></a>00065 <span class="keyword">/*</span>
99
<a name="l00066"></a>00066 <span class="keyword"></span>
100
<a name="l00067"></a>00067 <span class="keyword">PS/2 Protocol:</span>
101
<a name="l00068"></a>00068 <span class="keyword">********************************************************************************</span>
102
<a name="l00069"></a>00069 <span class="keyword">(Data, Clock)</span>
103
<a name="l00070"></a>00070 <span class="keyword">Idle:       (1&#39;bZ, 1&#39;bZ)</span>
104
<a name="l00071"></a>00071 <span class="keyword">Inhibit:    (1&#39;bZ, 1&#39;b0)</span>
105
<a name="l00072"></a>00072 <span class="keyword">Request:    (1&#39;b0, 1&#39;bZ)</span>
106
<a name="l00073"></a>00073 <span class="keyword"></span>
107
<a name="l00074"></a>00074 <span class="keyword">Device to Host:</span>
108
<a name="l00075"></a>00075 <span class="keyword">if(last_clock == 1&#39;b1 &amp;&amp; clock == 1&#39;b0) Read data</span>
109
<a name="l00076"></a>00076 <span class="keyword">Data frame: 0, 8 data bits LSB first, odd parity, 1</span>
110
<a name="l00077"></a>00077 <span class="keyword"></span>
111
<a name="l00078"></a>00078 <span class="keyword">Host to Device:</span>
112
<a name="l00079"></a>00079 <span class="keyword">State: Inhibit for 100 microseconds,                        Clock &lt;= 1&#39;b0</span>
113
<a name="l00080"></a>00080 <span class="keyword">State: Request                                              Data &lt;= 1&#39;b0</span>
114
<a name="l00081"></a>00081 <span class="keyword">if(last_clock == 1&#39;b1 &amp;&amp; clock == 1&#39;b0) Write data          Data &lt;= bit</span>
115
<a name="l00082"></a>00082 <span class="keyword">Data frame: 0, 8 data bits, odd parity</span>
116
<a name="l00083"></a>00083 <span class="keyword">if(last_clock == 1&#39;b1 &amp;&amp; clock == 1&#39;b0) Release data</span>
117
<a name="l00084"></a>00084 <span class="keyword">if(last_clock == 1&#39;b1 &amp;&amp; clock == 1&#39;b0) Read data acknowlege (0)</span>
118
<a name="l00085"></a>00085 <span class="keyword">Wait for Idle</span>
119
<a name="l00086"></a>00086 <span class="keyword"></span>
120
<a name="l00087"></a>00087 <span class="keyword">Keyboard:</span>
121
<a name="l00088"></a>00088 <span class="keyword">********************************************************************************</span>
122
<a name="l00089"></a>00089 <span class="keyword">Power-on:</span>
123
<a name="l00090"></a>00090 <span class="keyword">Keyboard: 0xAA (BAT OK) or 0xFC (Error)</span>
124
<a name="l00091"></a>00091 <span class="keyword"></span>
125
<a name="l00092"></a>00092 <span class="keyword">Make and break code:</span>
126
<a name="l00093"></a>00093 <span class="keyword">Keyboard: 0x12 (Shift make code)</span>
127
<a name="l00094"></a>00094 <span class="keyword">Keyboard: 0xF0 (Shift break code 1)</span>
128
<a name="l00095"></a>00095 <span class="keyword">Keyboard: 0x12 (Shift break code 2)</span>
129
<a name="l00096"></a>00096 <span class="keyword"></span>
130
<a name="l00097"></a>00097 <span class="keyword">Errors:</span>
131
<a name="l00098"></a>00098 <span class="keyword">Keyboard: 0xFE (Resend)</span>
132
<a name="l00099"></a>00099 <span class="keyword"></span>
133
<a name="l00100"></a>00100 <span class="keyword">Set LEDs:</span>
134
<a name="l00101"></a>00101 <span class="keyword">Host: 0xED</span>
135
<a name="l00102"></a>00102 <span class="keyword">Keyboard: 0xFA (Aknowlege)</span>
136
<a name="l00103"></a>00103 <span class="keyword">Host: 0,0,0,0,0, Caps Lock, Num Lock, Scroll Lock</span>
137
<a name="l00104"></a>00104 <span class="keyword">Keyboard: 0xFA (Aknowlege)</span>
138
<a name="l00105"></a>00105 <span class="keyword"></span>
139
<a name="l00106"></a>00106 <span class="keyword"></span>
140
<a name="l00107"></a>00107 <span class="keyword">Mouse:</span>
141
<a name="l00108"></a>00108 <span class="keyword">********************************************************************************</span>
142
<a name="l00109"></a>00109 <span class="keyword">Power-on:</span>
143
<a name="l00110"></a>00110 <span class="keyword">Mouse: 0xAA (BAT OK) or 0xFC (Error)</span>
144
<a name="l00111"></a>00111 <span class="keyword">Mouse: 0x00 (ID)</span>
145
<a name="l00112"></a>00112 <span class="keyword"></span>
146
<a name="l00113"></a>00113 <span class="keyword">Enable reporting:</span>
147
<a name="l00114"></a>00114 <span class="keyword">Host: 0xF4 (Enable Data Reporting)</span>
148
<a name="l00115"></a>00115 <span class="keyword">Mouse: 0xFA (Aknowlege)</span>
149
<a name="l00116"></a>00116 <span class="keyword"></span>
150
<a name="l00117"></a>00117 <span class="keyword">Report:</span>
151
<a name="l00118"></a>00118 <span class="keyword">Mouse: Y overflow, X overflow, Y sign, X sign, 1, Middle, Right, Left</span>
152
<a name="l00119"></a>00119 <span class="keyword">Mouse: X movement</span>
153
<a name="l00120"></a>00120 <span class="keyword">Mouse: Y movement</span>
154
<a name="l00121"></a>00121 <span class="keyword"></span>
155
<a name="l00122"></a>00122 <span class="keyword">Errors:</span>
156
<a name="l00123"></a>00123 <span class="keyword">Mouse: 0xFE (Resend) or 0xFC (Error)</span>
157
<a name="l00124"></a>00124 <span class="keyword">Host: 0xFE (Resend) or 0xFC (Error)</span>
158
<a name="l00125"></a>00125 <span class="keyword"></span>
159
<a name="l00126"></a>00126 <span class="keyword">********************************************************************************</span>
160
<a name="l00127"></a>00127 <span class="keyword"></span>
161
<a name="l00128"></a>00128 <span class="keyword">*/</span>
162
<a name="l00129"></a>00129
163
<a name="l00130"></a>00130 <span class="keyword">// ---------------- DEBUG</span>
164
<a name="l00131"></a>00131 <span class="keyword">/*</span>
165
<a name="l00132"></a>00132 <span class="keyword">wire debug_write;</span>
166
<a name="l00133"></a>00133 <span class="keyword">assign debug_write =    (new_ps2 == 1&#39;b0 &amp;&amp; amiga_new == 1&#39;b0 &amp;&amp; cnt_counter == 16&#39;d0 &amp;&amp; amiga_avail &gt; 4&#39;d0);</span>
167
<a name="l00134"></a>00134 <span class="keyword"></span>
168
<a name="l00135"></a>00135 <span class="keyword">reg [7:0] debug_addr;</span>
169
<a name="l00136"></a>00136 <span class="keyword">always @(posedge clk_30 or negedge reset_n) begin</span>
170
<a name="l00137"></a>00137 <span class="keyword">    if(reset_n == 1&#39;b0)                                     debug_addr &lt;= 8&#39;d0;</span>
171
<a name="l00138"></a>00138 <span class="keyword">    else if(debug_write == 1&#39;b1 &amp;&amp; debug_addr &lt; 8&#39;d255)     debug_addr &lt;= debug_addr + 8&#39;d1;</span>
172
<a name="l00139"></a>00139 <span class="keyword"><span class="vhdlkeyword">end</span></span>
173
<a name="l00140"></a>00140 <span class="keyword"></span>
174
<a name="l00141"></a>00141 <span class="keyword">altsyncram debug_ram_inst(</span>
175
<a name="l00142"></a>00142 <span class="keyword">    .clock0(clk_30),</span>
176
<a name="l00143"></a>00143 <span class="keyword"></span>
177
<a name="l00144"></a>00144 <span class="keyword">    .address_a(debug_addr),</span>
178
<a name="l00145"></a>00145 <span class="keyword">    .wren_a(debug_write == 1&#39;b1),</span>
179
<a name="l00146"></a>00146 <span class="keyword">    .data_a(amiga_keycodes[95:88]),</span>
180
<a name="l00147"></a>00147 <span class="keyword">    .q_a()</span>
181
<a name="l00148"></a>00148 <span class="keyword">);</span>
182
<a name="l00149"></a>00149 <span class="keyword">defparam </span>
183
<a name="l00150"></a>00150 <span class="keyword">    debug_ram_inst.operation_mode = &quot;SINGLE_PORT&quot;,</span>
184
<a name="l00151"></a>00151 <span class="keyword">    debug_ram_inst.width_a = 8,</span>
185
<a name="l00152"></a>00152 <span class="keyword">    debug_ram_inst.lpm_hint = &quot;ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=kb&quot;,</span>
186
<a name="l00153"></a>00153 <span class="keyword">    debug_ram_inst.widthad_a = 8;</span>
187
<a name="l00154"></a>00154 <span class="keyword">*/</span>
188
<a name="l00155"></a>00155 <span class="keyword">//--------------------- DEBUG</span>
189
<a name="l00156"></a>00156
190
<a name="l00157"></a>00157
191
<a name="l00158"></a>00158 <span class="keyword">// caps lock bit7: 0 - turn on light, 1 - turn off light</span>
192
<a name="l00159"></a>00159 <span class="keyword">// 6-5-4-3-2-1-0-7</span>
193
<a name="l00160"></a>00160 <span class="keyword">// Make Pause, no break pause: { 8&#39;hE1, 8&#39;h14, 8&#39;h77, 8&#39;hE1, 8&#39;hF0, 8&#39;h14, 8&#39;hF0, 8&#39;h77 }</span>
194
<a name="l00161"></a>00161
195
<a name="l00162"></a>00162
196
<a name="l00163"></a>00163 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__keyboard.html#a9f2a290de5d4f591151290194a83266b">ps2_kbclk</a> = <span class="vhdllogic">1&#39;bZ</span>;
197
<a name="l00164"></a>00164 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__keyboard.html#adc7a9f6a0f6c4bb99dd4936876dde986">ps2_kbdat</a> = <span class="vhdllogic">1&#39;bZ</span>;
198
<a name="l00165"></a>00165
199
<a name="l00166"></a><a class="code" href="classdrv__keyboard.html#ab8ebb2246bb75ffe11718c83c304dfd7">00166</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__keyboard.html#ab8ebb2246bb75ffe11718c83c304dfd7">mv</a>;
200
<a name="l00167"></a><a class="code" href="classdrv__keyboard.html#a468297ac3634450d8a3138236a448bb3">00167</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classdrv__keyboard.html#a468297ac3634450d8a3138236a448bb3">mv_wait</a>;
201
<a name="l00168"></a><a class="code" href="classdrv__keyboard.html#a79cabd46cb03af28f461f962430bcc68">00168</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classdrv__keyboard.html#a79cabd46cb03af28f461f962430bcc68">was_ps2_kbclk</a>;
202
<a name="l00169"></a><a class="code" href="classdrv__keyboard.html#ad548982be9c802e5ded168030751ac34">00169</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classdrv__keyboard.html#a3ab4a7c2546e63bfd2539cca0a3b836e">clk_30</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classdrv__keyboard.html#a67c405bf4935a7ee851d81f9e8352fcc">reset_n</a>) <span class="vhdlkeyword">begin</span>
203
<a name="l00170"></a>00170     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a67c405bf4935a7ee851d81f9e8352fcc">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
204
<a name="l00171"></a>00171         <a class="code" href="classdrv__keyboard.html#ab8ebb2246bb75ffe11718c83c304dfd7">mv</a>              &lt;= <span class="vhdllogic">16&#39;d0</span>;
205
<a name="l00172"></a>00172         <a class="code" href="classdrv__keyboard.html#a468297ac3634450d8a3138236a448bb3">mv_wait</a>         &lt;= <span class="vhdllogic">1&#39;b0</span>;
206
<a name="l00173"></a>00173         <a class="code" href="classdrv__keyboard.html#a79cabd46cb03af28f461f962430bcc68">was_ps2_kbclk</a>   &lt;= <span class="vhdllogic">1&#39;b0</span>;
207
<a name="l00174"></a>00174     <span class="vhdlkeyword">end</span>
208
<a name="l00175"></a>00175     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
209
<a name="l00176"></a>00176         <a class="code" href="classdrv__keyboard.html#ab8ebb2246bb75ffe11718c83c304dfd7">mv</a> &lt;= { <a class="code" href="classdrv__keyboard.html#ab8ebb2246bb75ffe11718c83c304dfd7">mv</a>[<span class="vhdllogic">14</span>:<span class="vhdllogic">0</span>], <a class="code" href="classdrv__keyboard.html#a9f2a290de5d4f591151290194a83266b">ps2_kbclk</a> };
210
<a name="l00177"></a>00177
211
<a name="l00178"></a>00178         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a468297ac3634450d8a3138236a448bb3">mv_wait</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ab8ebb2246bb75ffe11718c83c304dfd7">mv</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">12</span>] == <span class="vhdllogic">4&#39;b1111</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ab8ebb2246bb75ffe11718c83c304dfd7">mv</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] == <span class="vhdllogic">4&#39;b0000</span>) <span class="vhdlkeyword">begin</span>
212
<a name="l00179"></a>00179             <a class="code" href="classdrv__keyboard.html#a79cabd46cb03af28f461f962430bcc68">was_ps2_kbclk</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
213
<a name="l00180"></a>00180             <a class="code" href="classdrv__keyboard.html#a468297ac3634450d8a3138236a448bb3">mv_wait</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
214
<a name="l00181"></a>00181         <span class="vhdlkeyword">end</span>
215
<a name="l00182"></a>00182         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a468297ac3634450d8a3138236a448bb3">mv_wait</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ab8ebb2246bb75ffe11718c83c304dfd7">mv</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] == <span class="vhdllogic">16&#39;h0000</span>) <span class="vhdlkeyword">begin</span>
216
<a name="l00183"></a>00183             <a class="code" href="classdrv__keyboard.html#a468297ac3634450d8a3138236a448bb3">mv_wait</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
217
<a name="l00184"></a>00184             <a class="code" href="classdrv__keyboard.html#a79cabd46cb03af28f461f962430bcc68">was_ps2_kbclk</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
218
<a name="l00185"></a>00185         <span class="vhdlkeyword">end</span>
219
<a name="l00186"></a>00186         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
220
<a name="l00187"></a>00187             <a class="code" href="classdrv__keyboard.html#a79cabd46cb03af28f461f962430bcc68">was_ps2_kbclk</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
221
<a name="l00188"></a>00188         <span class="vhdlkeyword">end</span>
222
<a name="l00189"></a>00189     <span class="vhdlkeyword">end</span>
223
<a name="l00190"></a>00190 <span class="vhdlkeyword">end</span>
224
<a name="l00191"></a>00191
225
<a name="l00192"></a><a class="code" href="classdrv__keyboard.html#a96396b4ea87befd12f4cdec3cc150917">00192</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classdrv__keyboard.html#a96396b4ea87befd12f4cdec3cc150917">new_ps2</a>;
226
<a name="l00193"></a>00193 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__keyboard.html#a96396b4ea87befd12f4cdec3cc150917">new_ps2</a> = (<a class="code" href="classdrv__keyboard.html#a79cabd46cb03af28f461f962430bcc68">was_ps2_kbclk</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ac2981bbb26707b696be4fa7757544b9e">kbdat_counter</a> == <span class="vhdllogic">4&#39;d10</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#adc7a9f6a0f6c4bb99dd4936876dde986">ps2_kbdat</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#a1aa3113680ac83e12930db21930acfc0">kbdat_parity</a> == <span class="vhdllogic">1&#39;b1</span>);
227
<a name="l00194"></a>00194
228
<a name="l00195"></a><a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">00195</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">9</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>;
229
<a name="l00196"></a><a class="code" href="classdrv__keyboard.html#ac2981bbb26707b696be4fa7757544b9e">00196</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__keyboard.html#ac2981bbb26707b696be4fa7757544b9e">kbdat_counter</a>;
230
<a name="l00197"></a><a class="code" href="classdrv__keyboard.html#acf395f7279323087ddd7e3147496aad0">00197</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">23</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__keyboard.html#acf395f7279323087ddd7e3147496aad0">timeout</a>;
231
<a name="l00198"></a><a class="code" href="classdrv__keyboard.html#a1aa3113680ac83e12930db21930acfc0">00198</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classdrv__keyboard.html#a1aa3113680ac83e12930db21930acfc0">kbdat_parity</a>;
232
<a name="l00199"></a>00199
233
<a name="l00200"></a><a class="code" href="classdrv__keyboard.html#aca1db3d76ef84d2b860ecbcb9ebf17ea">00200</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classdrv__keyboard.html#a3ab4a7c2546e63bfd2539cca0a3b836e">clk_30</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classdrv__keyboard.html#a67c405bf4935a7ee851d81f9e8352fcc">reset_n</a>) <span class="vhdlkeyword">begin</span>
234
<a name="l00201"></a>00201     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a67c405bf4935a7ee851d81f9e8352fcc">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
235
<a name="l00202"></a>00202         <a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>           &lt;= <span class="vhdllogic">10&#39;d0</span>;
236
<a name="l00203"></a>00203         <a class="code" href="classdrv__keyboard.html#ac2981bbb26707b696be4fa7757544b9e">kbdat_counter</a>   &lt;= <span class="vhdllogic">4&#39;d0</span>;
237
<a name="l00204"></a>00204         <a class="code" href="classdrv__keyboard.html#a1aa3113680ac83e12930db21930acfc0">kbdat_parity</a>    &lt;= <span class="vhdllogic">1&#39;b0</span>;
238
<a name="l00205"></a>00205         <a class="code" href="classdrv__keyboard.html#acf395f7279323087ddd7e3147496aad0">timeout</a>         &lt;= <span class="vhdllogic">24&#39;d0</span>;
239
<a name="l00206"></a>00206     <span class="vhdlkeyword">end</span>
240
<a name="l00207"></a>00207     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
241
<a name="l00208"></a>00208
242
<a name="l00209"></a>00209         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ac2981bbb26707b696be4fa7757544b9e">kbdat_counter</a> != <span class="vhdllogic">4&#39;d0</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#acf395f7279323087ddd7e3147496aad0">timeout</a> != <span class="vhdllogic">24&#39;hFFFFFF</span>)  <a class="code" href="classdrv__keyboard.html#acf395f7279323087ddd7e3147496aad0">timeout</a> &lt;= <a class="code" href="classdrv__keyboard.html#acf395f7279323087ddd7e3147496aad0">timeout</a> + <span class="vhdllogic">24&#39;d1</span>;
243
<a name="l00210"></a>00210         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ac2981bbb26707b696be4fa7757544b9e">kbdat_counter</a> == <span class="vhdllogic">4&#39;d0</span>)                      <a class="code" href="classdrv__keyboard.html#acf395f7279323087ddd7e3147496aad0">timeout</a> &lt;= <span class="vhdllogic">24&#39;d0</span>;
244
<a name="l00211"></a>00211
245
<a name="l00212"></a>00212         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a79cabd46cb03af28f461f962430bcc68">was_ps2_kbclk</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
246
<a name="l00213"></a>00213             <a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a> &lt;= { <a class="code" href="classdrv__keyboard.html#adc7a9f6a0f6c4bb99dd4936876dde986">ps2_kbdat</a>, <a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">9</span>:<span class="vhdllogic">1</span>] };
247
<a name="l00214"></a>00214
248
<a name="l00215"></a>00215             <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ac2981bbb26707b696be4fa7757544b9e">kbdat_counter</a> == <span class="vhdllogic">4&#39;d10</span>) <span class="vhdlkeyword">begin</span>
249
<a name="l00216"></a>00216                 <a class="code" href="classdrv__keyboard.html#ac2981bbb26707b696be4fa7757544b9e">kbdat_counter</a> &lt;= <span class="vhdllogic">4&#39;d0</span>;
250
<a name="l00217"></a>00217             <span class="vhdlkeyword">end</span>
251
<a name="l00218"></a>00218             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
252
<a name="l00219"></a>00219                 <a class="code" href="classdrv__keyboard.html#ac2981bbb26707b696be4fa7757544b9e">kbdat_counter</a> &lt;= <a class="code" href="classdrv__keyboard.html#ac2981bbb26707b696be4fa7757544b9e">kbdat_counter</a> + <span class="vhdllogic">4&#39;d1</span>;
253
<a name="l00220"></a>00220                 <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ac2981bbb26707b696be4fa7757544b9e">kbdat_counter</a> == <span class="vhdllogic">4&#39;d0</span>)   <a class="code" href="classdrv__keyboard.html#a1aa3113680ac83e12930db21930acfc0">kbdat_parity</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
254
<a name="l00221"></a>00221                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#adc7a9f6a0f6c4bb99dd4936876dde986">ps2_kbdat</a> == <span class="vhdllogic">1&#39;b1</span>)  <a class="code" href="classdrv__keyboard.html#a1aa3113680ac83e12930db21930acfc0">kbdat_parity</a> &lt;= !<a class="code" href="classdrv__keyboard.html#a1aa3113680ac83e12930db21930acfc0">kbdat_parity</a>;
255
<a name="l00222"></a>00222             <span class="vhdlkeyword">end</span>
256
<a name="l00223"></a>00223         <span class="vhdlkeyword">end</span>
257
<a name="l00224"></a>00224         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#acf395f7279323087ddd7e3147496aad0">timeout</a> == <span class="vhdllogic">24&#39;hFFFFFF</span>) <span class="vhdlkeyword">begin</span>
258
<a name="l00225"></a>00225             <a class="code" href="classdrv__keyboard.html#ac2981bbb26707b696be4fa7757544b9e">kbdat_counter</a> &lt;= <span class="vhdllogic">4&#39;d0</span>;
259
<a name="l00226"></a>00226         <span class="vhdlkeyword">end</span>
260
<a name="l00227"></a>00227     <span class="vhdlkeyword">end</span>
261
<a name="l00228"></a>00228 <span class="vhdlkeyword">end</span>
262
<a name="l00229"></a>00229
263
<a name="l00230"></a><a class="code" href="classdrv__keyboard.html#a2e71391f9908033cb9938504ca0662a5">00230</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classdrv__keyboard.html#a2e71391f9908033cb9938504ca0662a5">pressed</a>;
264
<a name="l00231"></a><a class="code" href="classdrv__keyboard.html#a3b19c52ebaca328cf5a07b4e37c62759">00231</a> <a class="code" href="classdrv__keyboard.html#a3b19c52ebaca328cf5a07b4e37c62759">altsyncram</a> <span class="vhdlchar">pressed_code_inst</span>(
265
<a name="l00232"></a>00232     .<span class="vhdlchar">clock0</span>(<a class="code" href="classdrv__keyboard.html#a3ab4a7c2546e63bfd2539cca0a3b836e">clk_30</a>),
266
<a name="l00233"></a>00233
267
<a name="l00234"></a>00234     .<span class="vhdlchar">address_a</span>(<a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>]),
268
<a name="l00235"></a>00235     .<span class="vhdlchar">wren_a</span>(<a class="code" href="classdrv__keyboard.html#a96396b4ea87befd12f4cdec3cc150917">new_ps2</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#afb97a51cfc2f3c600e72e56615686deb">delay</a> == <span class="vhdllogic">1&#39;b1</span>),
269
<a name="l00236"></a>00236     .<span class="vhdlchar">data_a</span>(<a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] == <span class="vhdllogic">1&#39;b0</span>),
270
<a name="l00237"></a>00237     .<span class="vhdlchar">q_a</span>(<a class="code" href="classdrv__keyboard.html#a2e71391f9908033cb9938504ca0662a5">pressed</a>)
271
<a name="l00238"></a>00238 );
272
<a name="l00239"></a>00239 <span class="vhdlkeyword">defparam</span>
273
<a name="l00240"></a>00240     <span class="vhdlchar">pressed_code_inst</span>.<span class="vhdlchar">operation_mode</span> = <span class="keyword">&quot;SINGLE_PORT&quot;</span>,
274
<a name="l00241"></a>00241     <span class="vhdlchar">pressed_code_inst</span>.<span class="vhdlchar">width_a</span> = <span class="vhdllogic">1</span>,
275
<a name="l00242"></a>00242     <span class="vhdlchar">pressed_code_inst</span>.<span class="vhdlchar">widthad_a</span> = <span class="vhdllogic">7</span>;
276
<a name="l00243"></a>00243
277
<a name="l00244"></a>00244
278
<a name="l00245"></a><a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">00245</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">95</span>:<span class="vhdllogic">0</span>]  <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>;
279
<a name="l00246"></a><a class="code" href="classdrv__keyboard.html#a50c37752513be1a55002a2b6c85e9874">00246</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>]   <a class="code" href="classdrv__keyboard.html#a50c37752513be1a55002a2b6c85e9874">amiga_avail</a>;
280
<a name="l00247"></a><a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">00247</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>]   <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>;
281
<a name="l00248"></a><a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">00248</a> <span class="vhdlkeyword">reg</span>         <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>;
282
<a name="l00249"></a><a class="code" href="classdrv__keyboard.html#a518ce679b557c9fb4858fef1f1127c05">00249</a> <span class="vhdlkeyword">reg</span>         <a class="code" href="classdrv__keyboard.html#a518ce679b557c9fb4858fef1f1127c05">caps_lock</a>;
283
<a name="l00250"></a><a class="code" href="classdrv__keyboard.html#afb97a51cfc2f3c600e72e56615686deb">00250</a> <span class="vhdlkeyword">reg</span>         <a class="code" href="classdrv__keyboard.html#afb97a51cfc2f3c600e72e56615686deb">delay</a>;
284
<a name="l00251"></a>00251
285
<a name="l00252"></a><a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">00252</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>]   <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a>;
286
<a name="l00253"></a><a class="code" href="classdrv__keyboard.html#a41c16d86cced758facf71f9821000d86">00253</a> <span class="vhdlkeyword">parameter</span> [<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>]
287
<a name="l00254"></a>00254     <a class="code" href="classdrv__keyboard.html#a90bbcea8f3cfd11a874b50e079fa2a17">S_FIRST</a>             = <span class="vhdllogic">3&#39;d0</span>,
288
<a name="l00255"></a>00255     <a class="code" href="classdrv__keyboard.html#a2c6733ffcfa23bdb40f28c8acf62798e">S_E0</a>                = <span class="vhdllogic">3&#39;d1</span>,
289
<a name="l00256"></a>00256     <a class="code" href="classdrv__keyboard.html#a230da554779a3cfc5fdf56f25a926913">S_E0_12</a>             = <span class="vhdllogic">3&#39;d2</span>,
290
<a name="l00257"></a>00257     <a class="code" href="classdrv__keyboard.html#a9854da4f79a9470beba29937ba0f9711">S_E0_12_E0</a>          = <span class="vhdllogic">3&#39;d3</span>,
291
<a name="l00258"></a>00258     <a class="code" href="classdrv__keyboard.html#a36f4511d4a301a017353d6cdbe54fcab">S_E0_F0_7C</a>          = <span class="vhdllogic">3&#39;d4</span>,
292
<a name="l00259"></a>00259     <a class="code" href="classdrv__keyboard.html#a8a2175bf1abffead5f5fae2182206e98">S_E0_F0_7C_E0</a>       = <span class="vhdllogic">3&#39;d5</span>,
293
<a name="l00260"></a>00260     <a class="code" href="classdrv__keyboard.html#a41c16d86cced758facf71f9821000d86">S_E0_F0_7C_E0_F0</a>    = <span class="vhdllogic">3&#39;d6</span>;
294
<a name="l00261"></a>00261
295
<a name="l00262"></a>00262 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__keyboard.html#ae637bffa337936050e15a93e16b6bc03">keyboard_event</a>       = (<a class="code" href="classdrv__keyboard.html#a96396b4ea87befd12f4cdec3cc150917">new_ps2</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#a9cb17b31f25edb0479c8efbd362bad01">keyboard_ready</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#a50c37752513be1a55002a2b6c85e9874">amiga_avail</a> &gt; <span class="vhdllogic">4&#39;d0</span>);
296
<a name="l00263"></a>00263 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__keyboard.html#ae2cf5186ad318e121a885a306bf24bf5">keyboard_scancode</a>    = { <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">94</span>:<span class="vhdllogic">88</span>], <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">95</span>] };
297
<a name="l00264"></a>00264
298
<a name="l00265"></a><a class="code" href="classdrv__keyboard.html#a39440b3da341c60ec1defb134de81805">00265</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classdrv__keyboard.html#a3ab4a7c2546e63bfd2539cca0a3b836e">clk_30</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classdrv__keyboard.html#a67c405bf4935a7ee851d81f9e8352fcc">reset_n</a>) <span class="vhdlkeyword">begin</span>
299
<a name="l00266"></a>00266     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a67c405bf4935a7ee851d81f9e8352fcc">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
300
<a name="l00267"></a>00267         <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>      &lt;= { <span class="vhdllogic">8&#39;hFD</span>, <span class="vhdllogic">8&#39;hFE</span>, <span class="vhdllogic">80&#39;h0</span> };
301
<a name="l00268"></a>00268         <a class="code" href="classdrv__keyboard.html#a50c37752513be1a55002a2b6c85e9874">amiga_avail</a>         &lt;= <span class="vhdllogic">4&#39;d2</span>;
302
<a name="l00269"></a>00269
303
<a name="l00270"></a>00270         <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>           &lt;= <span class="vhdllogic">1&#39;b0</span>;
304
<a name="l00271"></a>00271         <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>       &lt;= <span class="vhdllogic">8&#39;d0</span>;
305
<a name="l00272"></a>00272         <a class="code" href="classdrv__keyboard.html#a518ce679b557c9fb4858fef1f1127c05">caps_lock</a>           &lt;= <span class="vhdllogic">1&#39;b0</span>;
306
<a name="l00273"></a>00273         <a class="code" href="classdrv__keyboard.html#afb97a51cfc2f3c600e72e56615686deb">delay</a>               &lt;= <span class="vhdllogic">1&#39;b0</span>;
307
<a name="l00274"></a>00274         <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a>               &lt;= <a class="code" href="classdrv__keyboard.html#a90bbcea8f3cfd11a874b50e079fa2a17">S_FIRST</a>;
308
<a name="l00275"></a>00275
309
<a name="l00276"></a>00276         <a class="code" href="classdrv__keyboard.html#a74146877b72ff30b9547f12797f04817">request_osd</a>         &lt;= <span class="vhdllogic">1&#39;b0</span>;
310
<a name="l00277"></a>00277         <a class="code" href="classdrv__keyboard.html#a25c72c6ad008100bffc87876fb6ac8bf">joystick_1_up</a>       &lt;= <span class="vhdllogic">1&#39;b0</span>;
311
<a name="l00278"></a>00278         <a class="code" href="classdrv__keyboard.html#a02fb275883e79c410807c8b593e8c539">joystick_1_down</a>     &lt;= <span class="vhdllogic">1&#39;b0</span>;
312
<a name="l00279"></a>00279         <a class="code" href="classdrv__keyboard.html#a2cf898886e1c9612bff1b6010cda703a">joystick_1_left</a>     &lt;= <span class="vhdllogic">1&#39;b0</span>;
313
<a name="l00280"></a>00280         <a class="code" href="classdrv__keyboard.html#a76930ee59ae01f929eb3bda66fcfd6d4">joystick_1_right</a>    &lt;= <span class="vhdllogic">1&#39;b0</span>;
314
<a name="l00281"></a>00281         <a class="code" href="classdrv__keyboard.html#a44c2817d6d3eb2cea0212d7ee4b1ca79">joystick_1_fire</a>     &lt;= <span class="vhdllogic">1&#39;b0</span>;
315
<a name="l00282"></a>00282     <span class="vhdlkeyword">end</span>
316
<a name="l00283"></a>00283     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
317
<a name="l00284"></a>00284
318
<a name="l00285"></a>00285         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a96396b4ea87befd12f4cdec3cc150917">new_ps2</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
319
<a name="l00286"></a>00286             <a class="code" href="classdrv__keyboard.html#afb97a51cfc2f3c600e72e56615686deb">delay</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
320
<a name="l00287"></a>00287
321
<a name="l00288"></a>00288             <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> == <a class="code" href="classdrv__keyboard.html#a90bbcea8f3cfd11a874b50e079fa2a17">S_FIRST</a>) <span class="vhdlkeyword">begin</span>
322
<a name="l00289"></a>00289                 <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;hF0</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
323
<a name="l00290"></a>00290                     <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] &lt;= <span class="vhdllogic">1&#39;b1</span>;
324
<a name="l00291"></a>00291                 <span class="vhdlkeyword">end</span>
325
<a name="l00292"></a>00292                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;hE0</span>) <span class="vhdlkeyword">begin</span>
326
<a name="l00293"></a>00293                     <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a2c6733ffcfa23bdb40f28c8acf62798e">S_E0</a>;
327
<a name="l00294"></a>00294                 <span class="vhdlkeyword">end</span>
328
<a name="l00295"></a>00295                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h58</span>) <span class="vhdlkeyword">begin</span>
329
<a name="l00296"></a>00296                     { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#acf561edeff35df1bcf054fed6a6e5ff2">AKC_CAPS</a> };
330
<a name="l00297"></a>00297
331
<a name="l00298"></a>00298                     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a518ce679b557c9fb4858fef1f1127c05">caps_lock</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
332
<a name="l00299"></a>00299                         <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] &lt;= <span class="vhdllogic">1&#39;b0</span>;
333
<a name="l00300"></a>00300                         <a class="code" href="classdrv__keyboard.html#a518ce679b557c9fb4858fef1f1127c05">caps_lock</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
334
<a name="l00301"></a>00301                     <span class="vhdlkeyword">end</span>
335
<a name="l00302"></a>00302                     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a518ce679b557c9fb4858fef1f1127c05">caps_lock</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
336
<a name="l00303"></a>00303                         <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] &lt;= <span class="vhdllogic">1&#39;b1</span>;
337
<a name="l00304"></a>00304                     <span class="vhdlkeyword">end</span>
338
<a name="l00305"></a>00305                     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a518ce679b557c9fb4858fef1f1127c05">caps_lock</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
339
<a name="l00306"></a>00306                         <a class="code" href="classdrv__keyboard.html#a518ce679b557c9fb4858fef1f1127c05">caps_lock</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
340
<a name="l00307"></a>00307                     <span class="vhdlkeyword">end</span>
341
<a name="l00308"></a>00308                 <span class="vhdlkeyword">end</span>
342
<a name="l00309"></a>00309                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
343
<a name="l00310"></a>00310                     <span class="vhdlkeyword">case</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>])
344
<a name="l00311"></a>00311                         <span class="vhdllogic">8&#39;h76</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a1d2e924324fcfd96c5ef66b1ba15c3c9">AKC_ESC</a> };
345
<a name="l00312"></a>00312                         <span class="vhdllogic">8&#39;h05</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a6b27f80721dd81f7674b5266cb448b10">AKC_F1</a> };
346
<a name="l00313"></a>00313                         <span class="vhdllogic">8&#39;h06</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#aba03aa54e3db53141ffd40aabbe9c4e5">AKC_F2</a> };
347
<a name="l00314"></a>00314                         <span class="vhdllogic">8&#39;h04</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a2593f36b5fa225a96cbfbf3516530001">AKC_F3</a> };
348
<a name="l00315"></a>00315                         <span class="vhdllogic">8&#39;h0C</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a92e76f523135060997ba02a643310ed5">AKC_F4</a> };
349
<a name="l00316"></a>00316                         <span class="vhdllogic">8&#39;h03</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a165c3e5aeb93561871e1583df58bf057">AKC_F5</a> };
350
<a name="l00317"></a>00317                         <span class="vhdllogic">8&#39;h0B</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a8dca514b32a049c26aa29bb1e8c4e6f8">AKC_F6</a> };
351
<a name="l00318"></a>00318                         <span class="vhdllogic">8&#39;h83</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a78f00fd6f2ba4275001776b0075b204f">AKC_F7</a> };
352
<a name="l00319"></a>00319                         <span class="vhdllogic">8&#39;h0A</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#afb57a13f5a5553e813c8081819c9fc43">AKC_F8</a> };
353
<a name="l00320"></a>00320                         <span class="vhdllogic">8&#39;h01</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a546ea7cec22bb08e6da3df1317eaedfd">AKC_F9</a> };
354
<a name="l00321"></a>00321                         <span class="vhdllogic">8&#39;h09</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#aae0c842dd0745e883ae8d02cfd1e0d03">AKC_F10</a> };
355
<a name="l00322"></a>00322                         <span class="vhdllogic">8&#39;h78</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a12e87a5dc2f34480229c9c723e05fece">AKC_LAMIGA</a> }; <span class="keyword">//F11</span>
356
<a name="l00323"></a>00323                         <span class="vhdllogic">8&#39;h07</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a774ded324a52c8c3bdff88626fbeef64">AKC_RAMIGA</a> }; <span class="keyword">//F12</span>
357
<a name="l00324"></a>00324                         <span class="vhdllogic">8&#39;h0E</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a0233b727c35990acc8eeb1129c13e526">AKC_APO</a> };
358
<a name="l00325"></a>00325                         <span class="vhdllogic">8&#39;h16</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a6548653b148b3cad8a7a3dcb2abc357c">AKC_1</a> };
359
<a name="l00326"></a>00326                         <span class="vhdllogic">8&#39;h1E</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#aa91d6c2b517802a8040e1b8597e53fbe">AKC_2</a> };
360
<a name="l00327"></a>00327                         <span class="vhdllogic">8&#39;h26</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a738bb8d075904c4c7b5fd12299ed0084">AKC_3</a> };
361
<a name="l00328"></a>00328                         <span class="vhdllogic">8&#39;h25</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#ab52901dbf1daef866493c0369946818e">AKC_4</a> };
362
<a name="l00329"></a>00329                         <span class="vhdllogic">8&#39;h2E</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a54d876be468742a0a3ae13c8b4e066b2">AKC_5</a> };
363
<a name="l00330"></a>00330                         <span class="vhdllogic">8&#39;h36</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a5a85e3e812b8bdbea6bbf67b4a60628a">AKC_6</a> };
364
<a name="l00331"></a>00331                         <span class="vhdllogic">8&#39;h3D</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a79a30223c158eb6ef76f672a5c84c216">AKC_7</a> };
365
<a name="l00332"></a>00332                         <span class="vhdllogic">8&#39;h3E</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a161856c0610b5891463bceade3e2519e">AKC_8</a> };
366
<a name="l00333"></a>00333                         <span class="vhdllogic">8&#39;h46</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#aa4fea9c64ec10ddb2b27859de7c08a89">AKC_9</a> };
367
<a name="l00334"></a>00334                         <span class="vhdllogic">8&#39;h45</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a02810a0a9443f3b76de1d67882c13c86">AKC_0</a> };
368
<a name="l00335"></a>00335                         <span class="vhdllogic">8&#39;h4E</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#abcebb36e14f04687d49f369befb0a14c">AKC_MIN</a> };
369
<a name="l00336"></a>00336                         <span class="vhdllogic">8&#39;h55</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#aff06d3c623a05da845c25ddb1b9c2fac">AKC_EQL</a> };
370
<a name="l00337"></a>00337                         <span class="vhdllogic">8&#39;h5D</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a378205f9a1ad95298bdf8af32101d802">AKC_BSLA</a> };
371
<a name="l00338"></a>00338                         <span class="vhdllogic">8&#39;h66</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a2964c393177c0bfed3bf9d43e75ea853">AKC_BACK</a> };
372
<a name="l00339"></a>00339                         <span class="vhdllogic">8&#39;h0D</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a9c3bd0a6eaa0c39414b921878ec140ed">AKC_TAB</a> };
373
<a name="l00340"></a>00340                         <span class="vhdllogic">8&#39;h15</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#aaff476765bf58c46accecbe44492301c">AKC_Q</a> };
374
<a name="l00341"></a>00341                         <span class="vhdllogic">8&#39;h1D</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a4a1e781a7e125eac47c9d77ba68d1460">AKC_W</a> };
375
<a name="l00342"></a>00342                         <span class="vhdllogic">8&#39;h24</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#ac8cd93e566d55f127a5dc32daca51141">AKC_E</a> };
376
<a name="l00343"></a>00343                         <span class="vhdllogic">8&#39;h2D</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a9f8f992741c6133f9ceb011c6ad33731">AKC_R</a> };
377
<a name="l00344"></a>00344                         <span class="vhdllogic">8&#39;h2C</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#abf46dae613431eb97ee450d6e63b864a">AKC_T</a> };
378
<a name="l00345"></a>00345                         <span class="vhdllogic">8&#39;h35</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a51dbb4c0e046bf71b07bf94238d2dc48">AKC_Y</a> };
379
<a name="l00346"></a>00346                         <span class="vhdllogic">8&#39;h3C</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#ab42f0580a8544e688b07640b19741613">AKC_U</a> };
380
<a name="l00347"></a>00347                         <span class="vhdllogic">8&#39;h43</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a0327148c612c5b16de827dfa5ecd42c8">AKC_I</a> };
381
<a name="l00348"></a>00348                         <span class="vhdllogic">8&#39;h44</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#aa40b070917b454d826d7cefb13fb4584">AKC_O</a> };
382
<a name="l00349"></a>00349                         <span class="vhdllogic">8&#39;h4D</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a31dff8ae56432d900a935e61df91fdf6">AKC_P</a> };
383
<a name="l00350"></a>00350                         <span class="vhdllogic">8&#39;h54</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#afdaf5fc2542c63c256865c9f817b0054">AKC_SBRAL</a> };
384
<a name="l00351"></a>00351                         <span class="vhdllogic">8&#39;h5B</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#ae5941dbcfb02e95d7627893213c99ae0">AKC_SBRAR</a> };
385
<a name="l00352"></a>00352                         <span class="vhdllogic">8&#39;h5A</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a4168a0672d8f7d50c2a5651612d2100c">AKC_ENTER</a> };
386
<a name="l00353"></a>00353                         <span class="vhdllogic">8&#39;h14</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a88a26283ddf3a923f08d12b0f5ff1f00">AKC_CTRL</a> };
387
<a name="l00354"></a>00354                         <span class="vhdllogic">8&#39;h1C</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a39e5a8612001c0f061df713741440bb4">AKC_A</a> };
388
<a name="l00355"></a>00355                         <span class="vhdllogic">8&#39;h1B</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a32fa8dd9651c8dba68b33740bc2a8a7c">AKC_S</a> };
389
<a name="l00356"></a>00356                         <span class="vhdllogic">8&#39;h23</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a3a87b8e949de2110f7c67548c46fa450">AKC_D</a> };
390
<a name="l00357"></a>00357                         <span class="vhdllogic">8&#39;h2B</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a1dec096e2809a4a39853f68b2dbccead">AKC_F</a> };
391
<a name="l00358"></a>00358                         <span class="vhdllogic">8&#39;h34</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a863dc39de0a8bf3cce9c11f67af47199">AKC_G</a> };
392
<a name="l00359"></a>00359                         <span class="vhdllogic">8&#39;h33</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#aedc54cd3eb1f2d4b10950a12f6798f97">AKC_H</a> };
393
<a name="l00360"></a>00360                         <span class="vhdllogic">8&#39;h3B</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#af2553ee2b8485cf69814a07fb14e0255">AKC_J</a> };
394
<a name="l00361"></a>00361                         <span class="vhdllogic">8&#39;h42</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a26670df20c3f10ef880c5fce43abab6f">AKC_K</a> };
395
<a name="l00362"></a>00362                         <span class="vhdllogic">8&#39;h4B</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a0ee529fa2b3d9b14f54be2b2732b4b2a">AKC_L</a> };
396
<a name="l00363"></a>00363                         <span class="vhdllogic">8&#39;h4C</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a4b646ebf02a9ae78c58bff65a12437da">AKC_SEMIC</a> };
397
<a name="l00364"></a>00364                         <span class="vhdllogic">8&#39;h52</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a59042ff9bb378cddade3ea57ed6bc85c">AKC_SQUO</a> };
398
<a name="l00365"></a>00365                         <span class="vhdllogic">8&#39;h12</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a7d6057c18053ab7784f05bfacee4c857">AKC_LSHIFT</a> };
399
<a name="l00366"></a>00366                         <span class="vhdllogic">8&#39;h1A</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a29fb61b45ec3f24caac5ab20362ce802">AKC_Z</a> };
400
<a name="l00367"></a>00367                         <span class="vhdllogic">8&#39;h22</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a27db0fbd742661836d19a69f440471d9">AKC_X</a> };
401
<a name="l00368"></a>00368                         <span class="vhdllogic">8&#39;h21</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#ac46b188e91f132dd4d6aaac7eae2facb">AKC_C</a> };
402
<a name="l00369"></a>00369                         <span class="vhdllogic">8&#39;h2A</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#adda3b8f4691962e43aeee2678a669142">AKC_V</a> };
403
<a name="l00370"></a>00370                         <span class="vhdllogic">8&#39;h32</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#aa2d88cff7dc6fa5bed32e3fbb35809d5">AKC_B</a> };
404
<a name="l00371"></a>00371                         <span class="vhdllogic">8&#39;h31</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#abb9011dc74ef2bae5633fb3df2fb56ec">AKC_N</a> };
405
<a name="l00372"></a>00372                         <span class="vhdllogic">8&#39;h3A</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a8285f527a05f57e922c5e3fcadc4918b">AKC_M</a> };
406
<a name="l00373"></a>00373                         <span class="vhdllogic">8&#39;h41</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a36aa7b6564615db378f3eccc52d2c90c">AKC_COMMA</a> };
407
<a name="l00374"></a>00374                         <span class="vhdllogic">8&#39;h49</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#ad332ab76a63ee1cbac67e15649b3e9b4">AKC_DOT</a> };
408
<a name="l00375"></a>00375                         <span class="vhdllogic">8&#39;h4A</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#acb55b9726c6af12c3aace151d1c05b01">AKC_SLA</a> };
409
<a name="l00376"></a>00376                         <span class="vhdllogic">8&#39;h59</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a15593d5053df5066563409ee1a2a8d94">AKC_RSHIFT</a> };
410
<a name="l00377"></a>00377                         <span class="vhdllogic">8&#39;h11</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a0e6550cffc5f3d5c798a705b0347409d">AKC_LALT</a> };
411
<a name="l00378"></a>00378                         <span class="vhdllogic">8&#39;h7C</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a975ce00bee567496d3ea2a41b865b838">AKC_NUM_MULT</a> };
412
<a name="l00379"></a>00379                         <span class="vhdllogic">8&#39;h6C</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a9120fed30fc2d8b252ea0e0007b5660b">AKC_NUM_7</a> };
413
<a name="l00380"></a>00380                         <span class="vhdllogic">8&#39;h75</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a1c85d104ab16b8debcce3b938d97b2dc">AKC_NUM_8</a> };
414
<a name="l00381"></a>00381                         <span class="vhdllogic">8&#39;h7D</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a1a4862e35cbd23cace139868294e5750">AKC_NUM_9</a> };
415
<a name="l00382"></a>00382                         <span class="vhdllogic">8&#39;h7B</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a4fe6c2f76ed089c15dc8eaaaf41f282f">AKC_NUM_MIN</a> };
416
<a name="l00383"></a>00383                         <span class="vhdllogic">8&#39;h6B</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a0f3f2692c2d4a9ad07e6b8d1a595fad9">AKC_NUM_4</a> };
417
<a name="l00384"></a>00384                         <span class="vhdllogic">8&#39;h73</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#af1fcf6e0d3a6d46f7d6ae5dabbfd19ef">AKC_NUM_5</a> };
418
<a name="l00385"></a>00385                         <span class="vhdllogic">8&#39;h74</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a18572fcc16629c5b84c121475cdc5996">AKC_NUM_6</a> };
419
<a name="l00386"></a>00386                         <span class="vhdllogic">8&#39;h79</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a8737f09655798c4439ac636d88c43f83">AKC_NUM_PLUS</a> };
420
<a name="l00387"></a>00387                         <span class="vhdllogic">8&#39;h69</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#af88b2eca7077a5971c4d4000aa72a9e7">AKC_NUM_1</a> };
421
<a name="l00388"></a>00388                         <span class="vhdllogic">8&#39;h72</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a317b98f2da1b383801d7225ca2795ff1">AKC_NUM_2</a> };
422
<a name="l00389"></a>00389                         <span class="vhdllogic">8&#39;h7A</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#ace5b77379deb11c26304b026fc739f75">AKC_NUM_3</a> };
423
<a name="l00390"></a>00390                         <span class="vhdllogic">8&#39;h29</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#abce1133f943eb9ecefc3e5c4d01aebfd">AKC_SPACE</a> };
424
<a name="l00391"></a>00391                         <span class="vhdllogic">8&#39;h70</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a872b4414d1eba98f25d113e647dbb63e">AKC_NUM_0</a> };
425
<a name="l00392"></a>00392                         <span class="vhdllogic">8&#39;h71</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a7a79d2c0ac5a0cf3668e171071690e60">AKC_NUM_DOT</a> };
426
<a name="l00393"></a>00393                         <span class="vhdlkeyword">default</span>: <span class="vhdlkeyword">begin</span>
427
<a name="l00394"></a>00394                             { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] } &lt;= <span class="vhdllogic">2&#39;b00</span>;
428
<a name="l00395"></a>00395                             <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a90bbcea8f3cfd11a874b50e079fa2a17">S_FIRST</a>;
429
<a name="l00396"></a>00396                         <span class="vhdlkeyword">end</span>
430
<a name="l00397"></a>00397                     <span class="vhdlkeyword">endcase</span>
431
<a name="l00398"></a>00398                 <span class="vhdlkeyword">end</span>
432
<a name="l00399"></a>00399             <span class="vhdlkeyword">end</span>
433
<a name="l00400"></a>00400             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> == <a class="code" href="classdrv__keyboard.html#a2c6733ffcfa23bdb40f28c8acf62798e">S_E0</a>) <span class="vhdlkeyword">begin</span>
434
<a name="l00401"></a>00401                 <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;hF0</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
435
<a name="l00402"></a>00402                     <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] &lt;= <span class="vhdllogic">1&#39;b1</span>;
436
<a name="l00403"></a>00403                 <span class="vhdlkeyword">end</span>
437
<a name="l00404"></a>00404                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h12</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
438
<a name="l00405"></a>00405                     <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a230da554779a3cfc5fdf56f25a926913">S_E0_12</a>;
439
<a name="l00406"></a>00406                 <span class="vhdlkeyword">end</span>
440
<a name="l00407"></a>00407                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h7C</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
441
<a name="l00408"></a>00408                     <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a36f4511d4a301a017353d6cdbe54fcab">S_E0_F0_7C</a>;
442
<a name="l00409"></a>00409                 <span class="vhdlkeyword">end</span>
443
<a name="l00410"></a>00410                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>( <a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h6C</span> ||
444
<a name="l00411"></a>00411                     (<a class="code" href="classdrv__keyboard.html#ad95d76bce84445e6f09694f4e2907b2d">enable_joystick_1</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; (<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h14</span> || <a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h75</span> || <a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h72</span> || <a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h6B</span> || <a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h74</span>)) )
445
<a name="l00412"></a>00412                 <span class="vhdlkeyword">begin</span>
446
<a name="l00413"></a>00413                     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h6C</span>) <a class="code" href="classdrv__keyboard.html#a74146877b72ff30b9547f12797f04817">request_osd</a>         &lt;= ~<a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>]; <span class="keyword">//home</span>
447
<a name="l00414"></a>00414                     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h14</span>) <a class="code" href="classdrv__keyboard.html#a44c2817d6d3eb2cea0212d7ee4b1ca79">joystick_1_fire</a>     &lt;= ~<a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>]; <span class="keyword">//right ctrl</span>
448
<a name="l00415"></a>00415                     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h75</span>) <a class="code" href="classdrv__keyboard.html#a25c72c6ad008100bffc87876fb6ac8bf">joystick_1_up</a>       &lt;= ~<a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>]; <span class="keyword">//up</span>
449
<a name="l00416"></a>00416                     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h72</span>) <a class="code" href="classdrv__keyboard.html#a02fb275883e79c410807c8b593e8c539">joystick_1_down</a>     &lt;= ~<a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>]; <span class="keyword">//down</span>
450
<a name="l00417"></a>00417                     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h6B</span>) <a class="code" href="classdrv__keyboard.html#a2cf898886e1c9612bff1b6010cda703a">joystick_1_left</a>     &lt;= ~<a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>]; <span class="keyword">//left</span>
451
<a name="l00418"></a>00418                     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h74</span>) <a class="code" href="classdrv__keyboard.html#a76930ee59ae01f929eb3bda66fcfd6d4">joystick_1_right</a>    &lt;= ~<a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>]; <span class="keyword">//right</span>
452
<a name="l00419"></a>00419
453
<a name="l00420"></a>00420                     { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] } &lt;= <span class="vhdllogic">2&#39;b00</span>;
454
<a name="l00421"></a>00421                     <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a90bbcea8f3cfd11a874b50e079fa2a17">S_FIRST</a>;
455
<a name="l00422"></a>00422                 <span class="vhdlkeyword">end</span>
456
<a name="l00423"></a>00423                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
457
<a name="l00424"></a>00424                     <span class="vhdlkeyword">case</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>])
458
<a name="l00425"></a>00425                         <span class="vhdllogic">8&#39;h11</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#ade086d4c1a6cfcac63af1e4429afe819">AKC_RALT</a> };
459
<a name="l00426"></a>00426                         <span class="vhdllogic">8&#39;h5B</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a12e87a5dc2f34480229c9c723e05fece">AKC_LAMIGA</a> }; <span class="keyword">//left Windows</span>
460
<a name="l00427"></a>00427                         <span class="vhdllogic">8&#39;h5C</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a774ded324a52c8c3bdff88626fbeef64">AKC_RAMIGA</a> }; <span class="keyword">//right Windows</span>
461
<a name="l00428"></a>00428                         <span class="vhdllogic">8&#39;h71</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a228d10e396c7ce5fbe5156bfcc13424e">AKC_DEL</a> };
462
<a name="l00429"></a>00429                         <span class="vhdllogic">8&#39;h75</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#ac33933398ad292bbdddf5d253845a7c1">AKC_UP</a> };
463
<a name="l00430"></a>00430                         <span class="vhdllogic">8&#39;h6B</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a7e6697a4999ca817e6514be052b4b780">AKC_LEFT</a> };
464
<a name="l00431"></a>00431                         <span class="vhdllogic">8&#39;h72</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a64159665ba07905bd7182254b4ba386e">AKC_DOWN</a> };
465
<a name="l00432"></a>00432                         <span class="vhdllogic">8&#39;h74</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#a95dbf348fe69dfe39744b989c70b3f8b">AKC_RIGHT</a> };
466
<a name="l00433"></a>00433                         <span class="vhdllogic">8&#39;h7D</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#af85e0f1344222631819c16d84d742727">AKC_NUM_LBRA</a> }; <span class="keyword">// pageUp</span>
467
<a name="l00434"></a>00434                         <span class="vhdllogic">8&#39;h7A</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#aceb6f36305981946953978e1d42a7fb4">AKC_NUM_RBRA</a> }; <span class="keyword">// pageDown</span>
468
<a name="l00435"></a>00435                         <span class="vhdllogic">8&#39;h4A</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#ab5506450a2123392342eed896591ea00">AKC_NUM_SLA</a> };
469
<a name="l00436"></a>00436                         <span class="vhdllogic">8&#39;h5A</span>:  { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#aedeab24fc066b88b5a2de8509434a1e2">AKC_NUM_ENTER</a> };
470
<a name="l00437"></a>00437                         <span class="vhdlkeyword">default</span>: <span class="vhdlkeyword">begin</span>
471
<a name="l00438"></a>00438                             { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] } &lt;= <span class="vhdllogic">2&#39;b00</span>;
472
<a name="l00439"></a>00439                             <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a90bbcea8f3cfd11a874b50e079fa2a17">S_FIRST</a>;
473
<a name="l00440"></a>00440                         <span class="vhdlkeyword">end</span>
474
<a name="l00441"></a>00441                     <span class="vhdlkeyword">endcase</span>
475
<a name="l00442"></a>00442                 <span class="vhdlkeyword">end</span>
476
<a name="l00443"></a>00443             <span class="vhdlkeyword">end</span>
477
<a name="l00444"></a>00444             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> == <a class="code" href="classdrv__keyboard.html#a230da554779a3cfc5fdf56f25a926913">S_E0_12</a>) <span class="vhdlkeyword">begin</span>
478
<a name="l00445"></a>00445                 <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;hE0</span>) <span class="vhdlkeyword">begin</span>
479
<a name="l00446"></a>00446                     <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a9854da4f79a9470beba29937ba0f9711">S_E0_12_E0</a>;
480
<a name="l00447"></a>00447                 <span class="vhdlkeyword">end</span>
481
<a name="l00448"></a>00448                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
482
<a name="l00449"></a>00449                     { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] } &lt;= <span class="vhdllogic">2&#39;b00</span>;
483
<a name="l00450"></a>00450                     <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a90bbcea8f3cfd11a874b50e079fa2a17">S_FIRST</a>;
484
<a name="l00451"></a>00451                 <span class="vhdlkeyword">end</span>
485
<a name="l00452"></a>00452             <span class="vhdlkeyword">end</span>
486
<a name="l00453"></a>00453             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> == <a class="code" href="classdrv__keyboard.html#a9854da4f79a9470beba29937ba0f9711">S_E0_12_E0</a>) <span class="vhdlkeyword">begin</span>
487
<a name="l00454"></a>00454                 <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h7C</span>) <span class="vhdlkeyword">begin</span>
488
<a name="l00455"></a>00455                     { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#ad991bd28199ca481f698337e1b33197d">AKC_HELP</a> }; <span class="keyword">// make PrintScreen</span>
489
<a name="l00456"></a>00456                 <span class="vhdlkeyword">end</span>
490
<a name="l00457"></a>00457                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
491
<a name="l00458"></a>00458                     { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] } &lt;= <span class="vhdllogic">2&#39;b00</span>;
492
<a name="l00459"></a>00459                     <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a90bbcea8f3cfd11a874b50e079fa2a17">S_FIRST</a>;
493
<a name="l00460"></a>00460                 <span class="vhdlkeyword">end</span>
494
<a name="l00461"></a>00461             <span class="vhdlkeyword">end</span>
495
<a name="l00462"></a>00462
496
<a name="l00463"></a>00463             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> == <a class="code" href="classdrv__keyboard.html#a36f4511d4a301a017353d6cdbe54fcab">S_E0_F0_7C</a>) <span class="vhdlkeyword">begin</span>
497
<a name="l00464"></a>00464                 <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;hE0</span>) <span class="vhdlkeyword">begin</span>
498
<a name="l00465"></a>00465                     <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a8a2175bf1abffead5f5fae2182206e98">S_E0_F0_7C_E0</a>;
499
<a name="l00466"></a>00466                 <span class="vhdlkeyword">end</span>
500
<a name="l00467"></a>00467                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
501
<a name="l00468"></a>00468                     { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] } &lt;= <span class="vhdllogic">2&#39;b00</span>;
502
<a name="l00469"></a>00469                     <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a90bbcea8f3cfd11a874b50e079fa2a17">S_FIRST</a>;
503
<a name="l00470"></a>00470                 <span class="vhdlkeyword">end</span>
504
<a name="l00471"></a>00471             <span class="vhdlkeyword">end</span>
505
<a name="l00472"></a>00472             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> == <a class="code" href="classdrv__keyboard.html#a8a2175bf1abffead5f5fae2182206e98">S_E0_F0_7C_E0</a>) <span class="vhdlkeyword">begin</span>
506
<a name="l00473"></a>00473                 <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;hF0</span>) <span class="vhdlkeyword">begin</span>
507
<a name="l00474"></a>00474                     <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a41c16d86cced758facf71f9821000d86">S_E0_F0_7C_E0_F0</a>;
508
<a name="l00475"></a>00475                 <span class="vhdlkeyword">end</span>
509
<a name="l00476"></a>00476                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
510
<a name="l00477"></a>00477                     { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] } &lt;= <span class="vhdllogic">2&#39;b00</span>;
511
<a name="l00478"></a>00478                     <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a90bbcea8f3cfd11a874b50e079fa2a17">S_FIRST</a>;
512
<a name="l00479"></a>00479                 <span class="vhdlkeyword">end</span>
513
<a name="l00480"></a>00480             <span class="vhdlkeyword">end</span>
514
<a name="l00481"></a>00481             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> == <a class="code" href="classdrv__keyboard.html#a41c16d86cced758facf71f9821000d86">S_E0_F0_7C_E0_F0</a>) <span class="vhdlkeyword">begin</span>
515
<a name="l00482"></a>00482                 <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ad4ad400edd5675c52861d466cedcae23">kbdat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;h12</span>) <span class="vhdlkeyword">begin</span>
516
<a name="l00483"></a>00483                     { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] } &lt;= { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classdrv__keyboard.html#ad991bd28199ca481f698337e1b33197d">AKC_HELP</a> }; <span class="keyword">// break PrintScreen</span>
517
<a name="l00484"></a>00484                 <span class="vhdlkeyword">end</span>
518
<a name="l00485"></a>00485                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
519
<a name="l00486"></a>00486                     { <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a>, <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] } &lt;= <span class="vhdllogic">2&#39;b00</span>;
520
<a name="l00487"></a>00487                     <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a90bbcea8f3cfd11a874b50e079fa2a17">S_FIRST</a>;
521
<a name="l00488"></a>00488                 <span class="vhdlkeyword">end</span>
522
<a name="l00489"></a>00489             <span class="vhdlkeyword">end</span>
523
<a name="l00490"></a>00490         <span class="vhdlkeyword">end</span>
524
<a name="l00491"></a>00491         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#afb97a51cfc2f3c600e72e56615686deb">delay</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
525
<a name="l00492"></a>00492             <a class="code" href="classdrv__keyboard.html#afb97a51cfc2f3c600e72e56615686deb">delay</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
526
<a name="l00493"></a>00493             <a class="code" href="classdrv__keyboard.html#a619bbca55f27e6cee7f6bc1034441a7e">state</a> &lt;= <a class="code" href="classdrv__keyboard.html#a90bbcea8f3cfd11a874b50e079fa2a17">S_FIRST</a>;
527
<a name="l00494"></a>00494         <span class="vhdlkeyword">end</span>
528
<a name="l00495"></a>00495         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#afb97a51cfc2f3c600e72e56615686deb">delay</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
529
<a name="l00496"></a>00496             <a class="code" href="classdrv__keyboard.html#ae9b58e32360c82fede32acb45b42817c">amiga_new</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
530
<a name="l00497"></a>00497             <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a> &lt;= <span class="vhdllogic">8&#39;d0</span>;
531
<a name="l00498"></a>00498             <a class="code" href="classdrv__keyboard.html#afb97a51cfc2f3c600e72e56615686deb">delay</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
532
<a name="l00499"></a>00499
533
<a name="l00500"></a>00500             <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a2e71391f9908033cb9938504ca0662a5">pressed</a> == <span class="vhdllogic">1&#39;b0</span> || <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>[<span class="vhdllogic">7</span>] == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
534
<a name="l00501"></a>00501
535
<a name="l00502"></a>00502                 <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a50c37752513be1a55002a2b6c85e9874">amiga_avail</a> &gt;= <span class="vhdllogic">4&#39;d0</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#a50c37752513be1a55002a2b6c85e9874">amiga_avail</a> &lt;= <span class="vhdllogic">4&#39;d11</span>)  <a class="code" href="classdrv__keyboard.html#a50c37752513be1a55002a2b6c85e9874">amiga_avail</a> &lt;= <a class="code" href="classdrv__keyboard.html#a50c37752513be1a55002a2b6c85e9874">amiga_avail</a> + <span class="vhdllogic">4&#39;d1</span>;
536
<a name="l00503"></a>00503
537
<a name="l00504"></a>00504                 <span class="vhdlkeyword">case</span>(<a class="code" href="classdrv__keyboard.html#a50c37752513be1a55002a2b6c85e9874">amiga_avail</a>)
538
<a name="l00505"></a>00505                     <span class="vhdllogic">4&#39;d0</span>:   <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">95</span>:<span class="vhdllogic">88</span>]   &lt;= <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>;
539
<a name="l00506"></a>00506                     <span class="vhdllogic">4&#39;d1</span>:   <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">87</span>:<span class="vhdllogic">80</span>]   &lt;= <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>;
540
<a name="l00507"></a>00507                     <span class="vhdllogic">4&#39;d2</span>:   <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">79</span>:<span class="vhdllogic">72</span>]   &lt;= <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>;
541
<a name="l00508"></a>00508                     <span class="vhdllogic">4&#39;d3</span>:   <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">71</span>:<span class="vhdllogic">64</span>]   &lt;= <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>;
542
<a name="l00509"></a>00509                     <span class="vhdllogic">4&#39;d4</span>:   <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">63</span>:<span class="vhdllogic">56</span>]   &lt;= <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>;
543
<a name="l00510"></a>00510                     <span class="vhdllogic">4&#39;d5</span>:   <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">55</span>:<span class="vhdllogic">48</span>]   &lt;= <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>;
544
<a name="l00511"></a>00511                     <span class="vhdllogic">4&#39;d6</span>:   <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">47</span>:<span class="vhdllogic">40</span>]   &lt;= <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>;
545
<a name="l00512"></a>00512                     <span class="vhdllogic">4&#39;d7</span>:   <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">39</span>:<span class="vhdllogic">32</span>]   &lt;= <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>;
546
<a name="l00513"></a>00513                     <span class="vhdllogic">4&#39;d8</span>:   <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>]   &lt;= <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>;
547
<a name="l00514"></a>00514                     <span class="vhdllogic">4&#39;d9</span>:   <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>]   &lt;= <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>;
548
<a name="l00515"></a>00515                     <span class="vhdllogic">4&#39;d10</span>:  <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>]    &lt;= <a class="code" href="classdrv__keyboard.html#ab13b3b8a4c33ee925842b3f0e6eb2f40">amiga_keycode</a>;
549
<a name="l00516"></a>00516                     <span class="vhdllogic">4&#39;d11</span>:  <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>]     &lt;= <span class="vhdllogic">8&#39;hFA</span>;
550
<a name="l00517"></a>00517                 <span class="vhdlkeyword">endcase</span>
551
<a name="l00518"></a>00518             <span class="vhdlkeyword">end</span>
552
<a name="l00519"></a>00519         <span class="vhdlkeyword">end</span>
553
<a name="l00520"></a>00520         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__keyboard.html#a9cb17b31f25edb0479c8efbd362bad01">keyboard_ready</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__keyboard.html#a50c37752513be1a55002a2b6c85e9874">amiga_avail</a> &gt; <span class="vhdllogic">4&#39;d0</span>) <span class="vhdlkeyword">begin</span>
554
<a name="l00521"></a>00521             <a class="code" href="classdrv__keyboard.html#a50c37752513be1a55002a2b6c85e9874">amiga_avail</a> &lt;= <a class="code" href="classdrv__keyboard.html#a50c37752513be1a55002a2b6c85e9874">amiga_avail</a> - <span class="vhdllogic">4&#39;d1</span>;
555
<a name="l00522"></a>00522             <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a> &lt;= { <a class="code" href="classdrv__keyboard.html#a89479e92ae9a32b9a2793b0363abcb68">amiga_keycodes</a>[<span class="vhdllogic">87</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">8&#39;d0</span> };
556
<a name="l00523"></a>00523         <span class="vhdlkeyword">end</span>
557
<a name="l00524"></a>00524     <span class="vhdlkeyword">end</span>
558
<a name="l00525"></a>00525 <span class="vhdlkeyword">end</span>
559
<a name="l00526"></a>00526
560
<a name="l00527"></a><a class="code" href="classdrv__keyboard.html#a7a79d2c0ac5a0cf3668e171071690e60">00527</a> <span class="vhdlkeyword">parameter</span> [<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>]
561
<a name="l00528"></a>00528     <a class="code" href="classdrv__keyboard.html#a1d2e924324fcfd96c5ef66b1ba15c3c9">AKC_ESC</a>         = <span class="vhdllogic">7&#39;h45</span>,
562
<a name="l00529"></a>00529     <a class="code" href="classdrv__keyboard.html#a6b27f80721dd81f7674b5266cb448b10">AKC_F1</a>          = <span class="vhdllogic">7&#39;h50</span>,
563
<a name="l00530"></a>00530     <a class="code" href="classdrv__keyboard.html#aba03aa54e3db53141ffd40aabbe9c4e5">AKC_F2</a>          = <span class="vhdllogic">7&#39;h51</span>,
564
<a name="l00531"></a>00531     <a class="code" href="classdrv__keyboard.html#a2593f36b5fa225a96cbfbf3516530001">AKC_F3</a>          = <span class="vhdllogic">7&#39;h52</span>,
565
<a name="l00532"></a>00532     <a class="code" href="classdrv__keyboard.html#a92e76f523135060997ba02a643310ed5">AKC_F4</a>          = <span class="vhdllogic">7&#39;h53</span>,
566
<a name="l00533"></a>00533     <a class="code" href="classdrv__keyboard.html#a165c3e5aeb93561871e1583df58bf057">AKC_F5</a>          = <span class="vhdllogic">7&#39;h54</span>,
567
<a name="l00534"></a>00534     <a class="code" href="classdrv__keyboard.html#a8dca514b32a049c26aa29bb1e8c4e6f8">AKC_F6</a>          = <span class="vhdllogic">7&#39;h55</span>,
568
<a name="l00535"></a>00535     <a class="code" href="classdrv__keyboard.html#a78f00fd6f2ba4275001776b0075b204f">AKC_F7</a>          = <span class="vhdllogic">7&#39;h56</span>,
569
<a name="l00536"></a>00536     <a class="code" href="classdrv__keyboard.html#afb57a13f5a5553e813c8081819c9fc43">AKC_F8</a>          = <span class="vhdllogic">7&#39;h57</span>,
570
<a name="l00537"></a>00537     <a class="code" href="classdrv__keyboard.html#a546ea7cec22bb08e6da3df1317eaedfd">AKC_F9</a>          = <span class="vhdllogic">7&#39;h58</span>,
571
<a name="l00538"></a>00538     <a class="code" href="classdrv__keyboard.html#aae0c842dd0745e883ae8d02cfd1e0d03">AKC_F10</a>         = <span class="vhdllogic">7&#39;h59</span>,
572
<a name="l00539"></a>00539     <a class="code" href="classdrv__keyboard.html#a0233b727c35990acc8eeb1129c13e526">AKC_APO</a>         = <span class="vhdllogic">7&#39;h00</span>, <span class="keyword">//`</span>
573
<a name="l00540"></a>00540     <a class="code" href="classdrv__keyboard.html#a2964c393177c0bfed3bf9d43e75ea853">AKC_BACK</a>        = <span class="vhdllogic">7&#39;h41</span>,
574
<a name="l00541"></a>00541     <a class="code" href="classdrv__keyboard.html#a6548653b148b3cad8a7a3dcb2abc357c">AKC_1</a>           = <span class="vhdllogic">7&#39;h01</span>,
575
<a name="l00542"></a>00542     <a class="code" href="classdrv__keyboard.html#aa91d6c2b517802a8040e1b8597e53fbe">AKC_2</a>           = <span class="vhdllogic">7&#39;h02</span>,
576
<a name="l00543"></a>00543     <a class="code" href="classdrv__keyboard.html#a738bb8d075904c4c7b5fd12299ed0084">AKC_3</a>           = <span class="vhdllogic">7&#39;h03</span>,
577
<a name="l00544"></a>00544     <a class="code" href="classdrv__keyboard.html#ab52901dbf1daef866493c0369946818e">AKC_4</a>           = <span class="vhdllogic">7&#39;h04</span>,
578
<a name="l00545"></a>00545     <a class="code" href="classdrv__keyboard.html#a54d876be468742a0a3ae13c8b4e066b2">AKC_5</a>           = <span class="vhdllogic">7&#39;h05</span>,
579
<a name="l00546"></a>00546     <a class="code" href="classdrv__keyboard.html#a5a85e3e812b8bdbea6bbf67b4a60628a">AKC_6</a>           = <span class="vhdllogic">7&#39;h06</span>,
580
<a name="l00547"></a>00547     <a class="code" href="classdrv__keyboard.html#a79a30223c158eb6ef76f672a5c84c216">AKC_7</a>           = <span class="vhdllogic">7&#39;h07</span>,
581
<a name="l00548"></a>00548     <a class="code" href="classdrv__keyboard.html#a161856c0610b5891463bceade3e2519e">AKC_8</a>           = <span class="vhdllogic">7&#39;h08</span>,
582
<a name="l00549"></a>00549     <a class="code" href="classdrv__keyboard.html#aa4fea9c64ec10ddb2b27859de7c08a89">AKC_9</a>           = <span class="vhdllogic">7&#39;h09</span>,
583
<a name="l00550"></a>00550     <a class="code" href="classdrv__keyboard.html#a02810a0a9443f3b76de1d67882c13c86">AKC_0</a>           = <span class="vhdllogic">7&#39;h0A</span>,
584
<a name="l00551"></a>00551     <a class="code" href="classdrv__keyboard.html#abcebb36e14f04687d49f369befb0a14c">AKC_MIN</a>         = <span class="vhdllogic">7&#39;h0B</span>, <span class="keyword">//-</span>
585
<a name="l00552"></a>00552     <a class="code" href="classdrv__keyboard.html#aff06d3c623a05da845c25ddb1b9c2fac">AKC_EQL</a>         = <span class="vhdllogic">7&#39;h0C</span>, <span class="keyword">//=</span>
586
<a name="l00553"></a>00553     <a class="code" href="classdrv__keyboard.html#a378205f9a1ad95298bdf8af32101d802">AKC_BSLA</a>        = <span class="vhdllogic">7&#39;h0D</span>, <span class="keyword">//\\</span>
587
<a name="l00554"></a>00554     <a class="code" href="classdrv__keyboard.html#a9c3bd0a6eaa0c39414b921878ec140ed">AKC_TAB</a>         = <span class="vhdllogic">7&#39;h42</span>,
588
<a name="l00555"></a>00555     <a class="code" href="classdrv__keyboard.html#aaff476765bf58c46accecbe44492301c">AKC_Q</a>           = <span class="vhdllogic">7&#39;h10</span>,
589
<a name="l00556"></a>00556     <a class="code" href="classdrv__keyboard.html#a4a1e781a7e125eac47c9d77ba68d1460">AKC_W</a>           = <span class="vhdllogic">7&#39;h11</span>,
590
<a name="l00557"></a>00557     <a class="code" href="classdrv__keyboard.html#ac8cd93e566d55f127a5dc32daca51141">AKC_E</a>           = <span class="vhdllogic">7&#39;h12</span>,
591
<a name="l00558"></a>00558     <a class="code" href="classdrv__keyboard.html#a9f8f992741c6133f9ceb011c6ad33731">AKC_R</a>           = <span class="vhdllogic">7&#39;h13</span>,
592
<a name="l00559"></a>00559     <a class="code" href="classdrv__keyboard.html#abf46dae613431eb97ee450d6e63b864a">AKC_T</a>           = <span class="vhdllogic">7&#39;h14</span>,
593
<a name="l00560"></a>00560     <a class="code" href="classdrv__keyboard.html#a51dbb4c0e046bf71b07bf94238d2dc48">AKC_Y</a>           = <span class="vhdllogic">7&#39;h15</span>,
594
<a name="l00561"></a>00561     <a class="code" href="classdrv__keyboard.html#ab42f0580a8544e688b07640b19741613">AKC_U</a>           = <span class="vhdllogic">7&#39;h16</span>,
595
<a name="l00562"></a>00562     <a class="code" href="classdrv__keyboard.html#a0327148c612c5b16de827dfa5ecd42c8">AKC_I</a>           = <span class="vhdllogic">7&#39;h17</span>,
596
<a name="l00563"></a>00563     <a class="code" href="classdrv__keyboard.html#aa40b070917b454d826d7cefb13fb4584">AKC_O</a>           = <span class="vhdllogic">7&#39;h18</span>,
597
<a name="l00564"></a>00564     <a class="code" href="classdrv__keyboard.html#a31dff8ae56432d900a935e61df91fdf6">AKC_P</a>           = <span class="vhdllogic">7&#39;h19</span>,
598
<a name="l00565"></a>00565     <a class="code" href="classdrv__keyboard.html#afdaf5fc2542c63c256865c9f817b0054">AKC_SBRAL</a>       = <span class="vhdllogic">7&#39;h1A</span>, <span class="keyword">//[</span>
599
<a name="l00566"></a>00566     <a class="code" href="classdrv__keyboard.html#ae5941dbcfb02e95d7627893213c99ae0">AKC_SBRAR</a>       = <span class="vhdllogic">7&#39;h1B</span>, <span class="keyword">//]</span>
600
<a name="l00567"></a>00567     <a class="code" href="classdrv__keyboard.html#a4168a0672d8f7d50c2a5651612d2100c">AKC_ENTER</a>       = <span class="vhdllogic">7&#39;h44</span>,
601
<a name="l00568"></a>00568     <a class="code" href="classdrv__keyboard.html#a88a26283ddf3a923f08d12b0f5ff1f00">AKC_CTRL</a>        = <span class="vhdllogic">7&#39;h63</span>,
602
<a name="l00569"></a>00569     <a class="code" href="classdrv__keyboard.html#acf561edeff35df1bcf054fed6a6e5ff2">AKC_CAPS</a>        = <span class="vhdllogic">7&#39;h62</span>,
603
<a name="l00570"></a>00570     <a class="code" href="classdrv__keyboard.html#a39e5a8612001c0f061df713741440bb4">AKC_A</a>           = <span class="vhdllogic">7&#39;h20</span>,
604
<a name="l00571"></a>00571     <a class="code" href="classdrv__keyboard.html#a32fa8dd9651c8dba68b33740bc2a8a7c">AKC_S</a>           = <span class="vhdllogic">7&#39;h21</span>,
605
<a name="l00572"></a>00572     <a class="code" href="classdrv__keyboard.html#a3a87b8e949de2110f7c67548c46fa450">AKC_D</a>           = <span class="vhdllogic">7&#39;h22</span>,
606
<a name="l00573"></a>00573     <a class="code" href="classdrv__keyboard.html#a1dec096e2809a4a39853f68b2dbccead">AKC_F</a>           = <span class="vhdllogic">7&#39;h23</span>,
607
<a name="l00574"></a>00574     <a class="code" href="classdrv__keyboard.html#a863dc39de0a8bf3cce9c11f67af47199">AKC_G</a>           = <span class="vhdllogic">7&#39;h24</span>,
608
<a name="l00575"></a>00575     <a class="code" href="classdrv__keyboard.html#aedc54cd3eb1f2d4b10950a12f6798f97">AKC_H</a>           = <span class="vhdllogic">7&#39;h25</span>,
609
<a name="l00576"></a>00576     <a class="code" href="classdrv__keyboard.html#af2553ee2b8485cf69814a07fb14e0255">AKC_J</a>           = <span class="vhdllogic">7&#39;h26</span>,
610
<a name="l00577"></a>00577     <a class="code" href="classdrv__keyboard.html#a26670df20c3f10ef880c5fce43abab6f">AKC_K</a>           = <span class="vhdllogic">7&#39;h27</span>,
611
<a name="l00578"></a>00578     <a class="code" href="classdrv__keyboard.html#a0ee529fa2b3d9b14f54be2b2732b4b2a">AKC_L</a>           = <span class="vhdllogic">7&#39;h28</span>,
612
<a name="l00579"></a>00579     <a class="code" href="classdrv__keyboard.html#a4b646ebf02a9ae78c58bff65a12437da">AKC_SEMIC</a>       = <span class="vhdllogic">7&#39;h29</span>, <span class="keyword">//;</span>
613
<a name="l00580"></a>00580     <a class="code" href="classdrv__keyboard.html#a59042ff9bb378cddade3ea57ed6bc85c">AKC_SQUO</a>        = <span class="vhdllogic">7&#39;h2A</span>, <span class="keyword">//&#39;</span>
614
<a name="l00581"></a>00581     <a class="code" href="classdrv__keyboard.html#a7d6057c18053ab7784f05bfacee4c857">AKC_LSHIFT</a>      = <span class="vhdllogic">7&#39;h60</span>,
615
<a name="l00582"></a>00582     <a class="code" href="classdrv__keyboard.html#a29fb61b45ec3f24caac5ab20362ce802">AKC_Z</a>           = <span class="vhdllogic">7&#39;h31</span>,
616
<a name="l00583"></a>00583     <a class="code" href="classdrv__keyboard.html#a27db0fbd742661836d19a69f440471d9">AKC_X</a>           = <span class="vhdllogic">7&#39;h32</span>,
617
<a name="l00584"></a>00584     <a class="code" href="classdrv__keyboard.html#ac46b188e91f132dd4d6aaac7eae2facb">AKC_C</a>           = <span class="vhdllogic">7&#39;h33</span>,
618
<a name="l00585"></a>00585     <a class="code" href="classdrv__keyboard.html#adda3b8f4691962e43aeee2678a669142">AKC_V</a>           = <span class="vhdllogic">7&#39;h34</span>,
619
<a name="l00586"></a>00586     <a class="code" href="classdrv__keyboard.html#aa2d88cff7dc6fa5bed32e3fbb35809d5">AKC_B</a>           = <span class="vhdllogic">7&#39;h35</span>,
620
<a name="l00587"></a>00587     <a class="code" href="classdrv__keyboard.html#abb9011dc74ef2bae5633fb3df2fb56ec">AKC_N</a>           = <span class="vhdllogic">7&#39;h36</span>,
621
<a name="l00588"></a>00588     <a class="code" href="classdrv__keyboard.html#a8285f527a05f57e922c5e3fcadc4918b">AKC_M</a>           = <span class="vhdllogic">7&#39;h37</span>,
622
<a name="l00589"></a>00589     <a class="code" href="classdrv__keyboard.html#a36aa7b6564615db378f3eccc52d2c90c">AKC_COMMA</a>       = <span class="vhdllogic">7&#39;h38</span>, <span class="keyword">//,</span>
623
<a name="l00590"></a>00590     <a class="code" href="classdrv__keyboard.html#ad332ab76a63ee1cbac67e15649b3e9b4">AKC_DOT</a>         = <span class="vhdllogic">7&#39;h39</span>, <span class="keyword">//.</span>
624
<a name="l00591"></a>00591     <a class="code" href="classdrv__keyboard.html#acb55b9726c6af12c3aace151d1c05b01">AKC_SLA</a>         = <span class="vhdllogic">7&#39;h3A</span>, <span class="keyword">///</span>
625
<a name="l00592"></a>00592     <a class="code" href="classdrv__keyboard.html#a15593d5053df5066563409ee1a2a8d94">AKC_RSHIFT</a>      = <span class="vhdllogic">7&#39;h61</span>,
626
<a name="l00593"></a>00593     <a class="code" href="classdrv__keyboard.html#a0e6550cffc5f3d5c798a705b0347409d">AKC_LALT</a>        = <span class="vhdllogic">7&#39;h64</span>,
627
<a name="l00594"></a>00594     <a class="code" href="classdrv__keyboard.html#a12e87a5dc2f34480229c9c723e05fece">AKC_LAMIGA</a>      = <span class="vhdllogic">7&#39;h66</span>,
628
<a name="l00595"></a>00595     <a class="code" href="classdrv__keyboard.html#abce1133f943eb9ecefc3e5c4d01aebfd">AKC_SPACE</a>       = <span class="vhdllogic">7&#39;h40</span>,
629
<a name="l00596"></a>00596     <a class="code" href="classdrv__keyboard.html#a774ded324a52c8c3bdff88626fbeef64">AKC_RAMIGA</a>      = <span class="vhdllogic">7&#39;h67</span>,
630
<a name="l00597"></a>00597     <a class="code" href="classdrv__keyboard.html#ade086d4c1a6cfcac63af1e4429afe819">AKC_RALT</a>        = <span class="vhdllogic">7&#39;h65</span>,
631
<a name="l00598"></a>00598     <a class="code" href="classdrv__keyboard.html#a228d10e396c7ce5fbe5156bfcc13424e">AKC_DEL</a>         = <span class="vhdllogic">7&#39;h46</span>,
632
<a name="l00599"></a>00599     <a class="code" href="classdrv__keyboard.html#ad991bd28199ca481f698337e1b33197d">AKC_HELP</a>        = <span class="vhdllogic">7&#39;h5F</span>,
633
<a name="l00600"></a>00600     <a class="code" href="classdrv__keyboard.html#ac33933398ad292bbdddf5d253845a7c1">AKC_UP</a>          = <span class="vhdllogic">7&#39;h4C</span>,
634
<a name="l00601"></a>00601     <a class="code" href="classdrv__keyboard.html#a7e6697a4999ca817e6514be052b4b780">AKC_LEFT</a>        = <span class="vhdllogic">7&#39;h4F</span>,
635
<a name="l00602"></a>00602     <a class="code" href="classdrv__keyboard.html#a64159665ba07905bd7182254b4ba386e">AKC_DOWN</a>        = <span class="vhdllogic">7&#39;h4D</span>,
636
<a name="l00603"></a>00603     <a class="code" href="classdrv__keyboard.html#a95dbf348fe69dfe39744b989c70b3f8b">AKC_RIGHT</a>       = <span class="vhdllogic">7&#39;h4E</span>,
637
<a name="l00604"></a>00604     <a class="code" href="classdrv__keyboard.html#af85e0f1344222631819c16d84d742727">AKC_NUM_LBRA</a>    = <span class="vhdllogic">7&#39;h5A</span>, <span class="keyword">//(</span>
638
<a name="l00605"></a>00605     <a class="code" href="classdrv__keyboard.html#aceb6f36305981946953978e1d42a7fb4">AKC_NUM_RBRA</a>    = <span class="vhdllogic">7&#39;h5B</span>, <span class="keyword">//)</span>
639
<a name="l00606"></a>00606     <a class="code" href="classdrv__keyboard.html#ab5506450a2123392342eed896591ea00">AKC_NUM_SLA</a>     = <span class="vhdllogic">7&#39;h5C</span>, <span class="keyword">///</span>
640
<a name="l00607"></a>00607     <a class="code" href="classdrv__keyboard.html#a975ce00bee567496d3ea2a41b865b838">AKC_NUM_MULT</a>    = <span class="vhdllogic">7&#39;h5D</span>, <span class="keyword">//*</span>
641
<a name="l00608"></a>00608     <a class="code" href="classdrv__keyboard.html#a9120fed30fc2d8b252ea0e0007b5660b">AKC_NUM_7</a>       = <span class="vhdllogic">7&#39;h3D</span>,
642
<a name="l00609"></a>00609     <a class="code" href="classdrv__keyboard.html#a1c85d104ab16b8debcce3b938d97b2dc">AKC_NUM_8</a>       = <span class="vhdllogic">7&#39;h3E</span>,
643
<a name="l00610"></a>00610     <a class="code" href="classdrv__keyboard.html#a1a4862e35cbd23cace139868294e5750">AKC_NUM_9</a>       = <span class="vhdllogic">7&#39;h3F</span>,
644
<a name="l00611"></a>00611     <a class="code" href="classdrv__keyboard.html#a4fe6c2f76ed089c15dc8eaaaf41f282f">AKC_NUM_MIN</a>     = <span class="vhdllogic">7&#39;h4A</span>, <span class="keyword">//-</span>
645
<a name="l00612"></a>00612     <a class="code" href="classdrv__keyboard.html#a0f3f2692c2d4a9ad07e6b8d1a595fad9">AKC_NUM_4</a>       = <span class="vhdllogic">7&#39;h4D</span>,
646
<a name="l00613"></a>00613     <a class="code" href="classdrv__keyboard.html#af1fcf6e0d3a6d46f7d6ae5dabbfd19ef">AKC_NUM_5</a>       = <span class="vhdllogic">7&#39;h4E</span>,
647
<a name="l00614"></a>00614     <a class="code" href="classdrv__keyboard.html#a18572fcc16629c5b84c121475cdc5996">AKC_NUM_6</a>       = <span class="vhdllogic">7&#39;h4F</span>,
648
<a name="l00615"></a>00615     <a class="code" href="classdrv__keyboard.html#a8737f09655798c4439ac636d88c43f83">AKC_NUM_PLUS</a>    = <span class="vhdllogic">7&#39;h5E</span>, <span class="keyword">//+</span>
649
<a name="l00616"></a>00616     <a class="code" href="classdrv__keyboard.html#af88b2eca7077a5971c4d4000aa72a9e7">AKC_NUM_1</a>       = <span class="vhdllogic">7&#39;h1D</span>,
650
<a name="l00617"></a>00617     <a class="code" href="classdrv__keyboard.html#a317b98f2da1b383801d7225ca2795ff1">AKC_NUM_2</a>       = <span class="vhdllogic">7&#39;h1E</span>,
651
<a name="l00618"></a>00618     <a class="code" href="classdrv__keyboard.html#ace5b77379deb11c26304b026fc739f75">AKC_NUM_3</a>       = <span class="vhdllogic">7&#39;h1F</span>,
652
<a name="l00619"></a>00619     <a class="code" href="classdrv__keyboard.html#aedeab24fc066b88b5a2de8509434a1e2">AKC_NUM_ENTER</a>   = <span class="vhdllogic">7&#39;h43</span>,
653
<a name="l00620"></a>00620     <a class="code" href="classdrv__keyboard.html#a872b4414d1eba98f25d113e647dbb63e">AKC_NUM_0</a>       = <span class="vhdllogic">7&#39;h0F</span>,
654
<a name="l00621"></a>00621     <a class="code" href="classdrv__keyboard.html#a7a79d2c0ac5a0cf3668e171071690e60">AKC_NUM_DOT</a>     = <span class="vhdllogic">7&#39;h3C</span>; <span class="keyword">//.</span>
655
<a name="l00622"></a>00622
656
<a name="l00623"></a>00623 <span class="vhdlkeyword">endmodule</span>
657
</pre></div></div>
658
</div>
659
<hr class="footer"/><address class="footer"><small>Generated on Mon Dec 20 2010 21:20:18 for aoOCS by&#160;
660
<a href="http://www.doxygen.org/index.html">
661
<img class="footer" src="doxygen.png" alt="doxygen"/></a> 1.7.2 </small></address>
662
</body>
663
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.