OpenCores
URL https://opencores.org/ocsvn/aoocs/aoocs/trunk

Subversion Repositories aoocs

[/] [aoocs/] [trunk/] [doc/] [doxygen/] [html/] [drv__mouse_8v_source.html] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
2
<html xmlns="http://www.w3.org/1999/xhtml">
3
<head>
4
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
5
<title>aoOCS: drv_mouse.v Source File</title>
6
<link href="tabs.css" rel="stylesheet" type="text/css"/>
7
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
8
</head>
9
<body>
10
<!-- Generated by Doxygen 1.7.2 -->
11
<div class="navigation" id="top">
12
  <div class="tabs">
13
    <ul class="tablist">
14
      <li><a href="index.html"><span>Main&#160;Page</span></a></li>
15
      <li><a href="annotated.html"><span>Design&#160;Unit&#160;List</span></a></li>
16
      <li class="current"><a href="files.html"><span>Files</span></a></li>
17
    </ul>
18
  </div>
19
  <div class="tabs2">
20
    <ul class="tablist">
21
      <li><a href="files.html"><span>File&#160;List</span></a></li>
22
      <li><a href="globals.html"><span>File&#160;Members</span></a></li>
23
    </ul>
24
  </div>
25
<div class="header">
26
  <div class="headertitle">
27
<h1>drv_mouse.v</h1>  </div>
28
</div>
29
<div class="contents">
30
<a href="drv__mouse_8v.html">Go to the documentation of this file.</a><div class="fragment"><pre class="fragment"><a name="l00001"></a>00001  <span class="keyword">/*</span>
31
<a name="l00002"></a>00002 <span class="keyword">  Copyright 2010, Aleksander Osman, alfik@poczta.fm. All rights reserved.</span>
32
<a name="l00003"></a>00003 <span class="keyword"> </span>
33
<a name="l00004"></a>00004 <span class="keyword">  Redistribution and use in source and binary forms, with or without modification, are</span>
34
<a name="l00005"></a>00005 <span class="keyword">  permitted provided that the following conditions are met:</span>
35
<a name="l00006"></a>00006 <span class="keyword"> </span>
36
<a name="l00007"></a>00007 <span class="keyword">   1. Redistributions of source code must retain the above copyright notice, this list of</span>
37
<a name="l00008"></a>00008 <span class="keyword">      conditions and the following disclaimer.</span>
38
<a name="l00009"></a>00009 <span class="keyword"> </span>
39
<a name="l00010"></a>00010 <span class="keyword">   2. Redistributions in binary form must reproduce the above copyright notice, this list</span>
40
<a name="l00011"></a>00011 <span class="keyword">      of conditions and the following disclaimer in the documentation and/or other materials</span>
41
<a name="l00012"></a>00012 <span class="keyword">      provided with the distribution.</span>
42
<a name="l00013"></a>00013 <span class="keyword"> </span>
43
<a name="l00014"></a>00014 <span class="keyword">  THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS&#39;&#39; AND ANY EXPRESS OR IMPLIED</span>
44
<a name="l00015"></a>00015 <span class="keyword">  WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND</span>
45
<a name="l00016"></a>00016 <span class="keyword">  FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR</span>
46
<a name="l00017"></a>00017 <span class="keyword">  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR</span>
47
<a name="l00018"></a>00018 <span class="keyword">  CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR</span>
48
<a name="l00019"></a>00019 <span class="keyword">  SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON</span>
49
<a name="l00020"></a>00020 <span class="keyword">  ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING</span>
50
<a name="l00021"></a>00021 <span class="keyword">  NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF</span>
51
<a name="l00022"></a>00022 <span class="keyword">  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.</span>
52
<a name="l00023"></a>00023 <span class="keyword"> */</span>
53
<a name="l00024"></a>00024
54
<a name="l00025"></a>00025 <span class="keyword">/*! \file</span>
55
<a name="l00026"></a>00026 <span class="keyword">  \brief PS/2 mouse driver.</span>
56
<a name="l00027"></a>00027 <span class="keyword"> */</span>
57
<a name="l00028"></a>00028
58
<a name="l00029"></a>00029 <span class="keyword">/*! \brief \copybrief drv_mouse.v</span>
59
<a name="l00030"></a>00030 <span class="keyword">*/</span>
60
<a name="l00031"></a><a class="code" href="classdrv__mouse.html">00031</a> <span class="vhdlkeyword">module</span> <a class="code" href="classdrv__mouse.html">drv_mouse</a>(
61
<a name="l00032"></a>00032     <span class="keyword">//% \name Clock and reset
62
</span>
63
<a name="l00033"></a>00033     <span class="keyword">//% @{</span>
64
<a name="l00034"></a><a class="code" href="classdrv__mouse.html#a9d384b26cce32f3113a602e3ce9942ac">00034</a>     <span class="vhdlkeyword">input</span>           <a class="code" href="classdrv__mouse.html#a9d384b26cce32f3113a602e3ce9942ac">clk_30</a>,
65
<a name="l00035"></a><a class="code" href="classdrv__mouse.html#ad072cf63605a675e6360788f66ef0a27">00035</a>     <span class="vhdlkeyword">input</span>           <a class="code" href="classdrv__mouse.html#ad072cf63605a675e6360788f66ef0a27">reset_n</a>,
66
<a name="l00036"></a>00036     <span class="keyword">//% @}</span>
67
<a name="l00037"></a>00037
68
<a name="l00038"></a>00038     <span class="keyword">//% \name drv_keyboard interface
69
</span>
70
<a name="l00039"></a>00039     <span class="keyword">//% @{</span>
71
<a name="l00040"></a><a class="code" href="classdrv__mouse.html#a094f3449c1933821d95881b3b2a3f12d">00040</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span>      <a class="code" href="classdrv__mouse.html#a094f3449c1933821d95881b3b2a3f12d">mouse_moved</a>,
72
<a name="l00041"></a><a class="code" href="classdrv__mouse.html#a2891cda659c8582d9b73ef8674aafc7b">00041</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>]    <a class="code" href="classdrv__mouse.html#a2891cda659c8582d9b73ef8674aafc7b">mouse_y_move</a>,
73
<a name="l00042"></a><a class="code" href="classdrv__mouse.html#ab8618daeef64bc9852837b4eb36d2472">00042</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>]    <a class="code" href="classdrv__mouse.html#ab8618daeef64bc9852837b4eb36d2472">mouse_x_move</a>,
74
<a name="l00043"></a><a class="code" href="classdrv__mouse.html#aa3e6a44e1cfb05c4aca876d93480cea1">00043</a>     <span class="vhdlkeyword">output</span>          <a class="code" href="classdrv__mouse.html#aa3e6a44e1cfb05c4aca876d93480cea1">mouse_left_button</a>,
75
<a name="l00044"></a><a class="code" href="classdrv__mouse.html#a5d2c90a52b10f2ee711ccafeafe8682f">00044</a>     <span class="vhdlkeyword">output</span>          <a class="code" href="classdrv__mouse.html#a5d2c90a52b10f2ee711ccafeafe8682f">mouse_right_button</a>,
76
<a name="l00045"></a><a class="code" href="classdrv__mouse.html#a79178cc0f7325f16d3aa3b099f5a51be">00045</a>     <span class="vhdlkeyword">output</span>          <a class="code" href="classdrv__mouse.html#a79178cc0f7325f16d3aa3b099f5a51be">mouse_middle_button</a>,
77
<a name="l00046"></a>00046     <span class="keyword">//% @}</span>
78
<a name="l00047"></a>00047
79
<a name="l00048"></a>00048     <span class="keyword">//% \name PS/2 mouse hardware interface
80
</span>
81
<a name="l00049"></a>00049     <span class="keyword">//% @{</span>
82
<a name="l00050"></a><a class="code" href="classdrv__mouse.html#a5a18eb50070bafcb9bfd2b3937486281">00050</a>     <span class="vhdlkeyword">inout</span>           <a class="code" href="classdrv__mouse.html#a5a18eb50070bafcb9bfd2b3937486281">ps2_mouseclk</a>,
83
<a name="l00051"></a><a class="code" href="classdrv__mouse.html#a6a1e839aabe9b2d66aa3b689841d6700">00051</a>     <span class="vhdlkeyword">inout</span>           <a class="code" href="classdrv__mouse.html#a6a1e839aabe9b2d66aa3b689841d6700">ps2_mousedat</a>
84
<a name="l00052"></a>00052     <span class="keyword">//% @}</span>
85
<a name="l00053"></a>00053 );
86
<a name="l00054"></a>00054 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__mouse.html#a5a18eb50070bafcb9bfd2b3937486281">ps2_mouseclk</a> = (<a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &gt;= <span class="vhdllogic">12&#39;d1</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &lt; <span class="vhdllogic">12&#39;d4000</span>) ? <span class="vhdllogic">1&#39;b0</span> : <span class="vhdllogic">1&#39;bZ</span>;
87
<a name="l00055"></a>00055 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__mouse.html#a6a1e839aabe9b2d66aa3b689841d6700">ps2_mousedat</a> = (<a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &gt;= <span class="vhdllogic">12&#39;d4000</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &lt;= <span class="vhdllogic">12&#39;d4009</span>) ? <a class="code" href="classdrv__mouse.html#a65fc4f8249cd41d6d6268a7a02977115">send_shift</a>[<span class="vhdllogic">0</span>] : <span class="vhdllogic">1&#39;bZ</span>;
88
<a name="l00056"></a>00056
89
<a name="l00057"></a><a class="code" href="classdrv__mouse.html#ae2d0fb765873c0ed68e4aa8c60945e30">00057</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__mouse.html#ae2d0fb765873c0ed68e4aa8c60945e30">mv</a>;
90
<a name="l00058"></a><a class="code" href="classdrv__mouse.html#ac9710db152f414627502f002d9face2c">00058</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classdrv__mouse.html#ac9710db152f414627502f002d9face2c">mv_wait</a>;
91
<a name="l00059"></a><a class="code" href="classdrv__mouse.html#a7c5f546d48d4a6f14e7003204b4c317e">00059</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classdrv__mouse.html#a7c5f546d48d4a6f14e7003204b4c317e">was_ps2_mouseclk</a>;
92
<a name="l00060"></a><a class="code" href="classdrv__mouse.html#a3e09f5b520bb995811bddbb14cfea965">00060</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classdrv__mouse.html#a9d384b26cce32f3113a602e3ce9942ac">clk_30</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classdrv__mouse.html#ad072cf63605a675e6360788f66ef0a27">reset_n</a>) <span class="vhdlkeyword">begin</span>
93
<a name="l00061"></a>00061     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#ad072cf63605a675e6360788f66ef0a27">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
94
<a name="l00062"></a>00062         <a class="code" href="classdrv__mouse.html#ae2d0fb765873c0ed68e4aa8c60945e30">mv</a>                  &lt;= <span class="vhdllogic">16&#39;d0</span>;
95
<a name="l00063"></a>00063         <a class="code" href="classdrv__mouse.html#ac9710db152f414627502f002d9face2c">mv_wait</a>             &lt;= <span class="vhdllogic">1&#39;b0</span>;
96
<a name="l00064"></a>00064         <a class="code" href="classdrv__mouse.html#a7c5f546d48d4a6f14e7003204b4c317e">was_ps2_mouseclk</a>    &lt;= <span class="vhdllogic">1&#39;b0</span>;
97
<a name="l00065"></a>00065     <span class="vhdlkeyword">end</span>
98
<a name="l00066"></a>00066     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
99
<a name="l00067"></a>00067         <a class="code" href="classdrv__mouse.html#ae2d0fb765873c0ed68e4aa8c60945e30">mv</a> &lt;= { <a class="code" href="classdrv__mouse.html#ae2d0fb765873c0ed68e4aa8c60945e30">mv</a>[<span class="vhdllogic">14</span>:<span class="vhdllogic">0</span>], <a class="code" href="classdrv__mouse.html#a5a18eb50070bafcb9bfd2b3937486281">ps2_mouseclk</a> };
100
<a name="l00068"></a>00068
101
<a name="l00069"></a>00069         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#ac9710db152f414627502f002d9face2c">mv_wait</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#ae2d0fb765873c0ed68e4aa8c60945e30">mv</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">12</span>] == <span class="vhdllogic">4&#39;b1111</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#ae2d0fb765873c0ed68e4aa8c60945e30">mv</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] == <span class="vhdllogic">4&#39;b0000</span>) <span class="vhdlkeyword">begin</span>
102
<a name="l00070"></a>00070             <a class="code" href="classdrv__mouse.html#a7c5f546d48d4a6f14e7003204b4c317e">was_ps2_mouseclk</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
103
<a name="l00071"></a>00071             <a class="code" href="classdrv__mouse.html#ac9710db152f414627502f002d9face2c">mv_wait</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
104
<a name="l00072"></a>00072         <span class="vhdlkeyword">end</span>
105
<a name="l00073"></a>00073         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#ac9710db152f414627502f002d9face2c">mv_wait</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#ae2d0fb765873c0ed68e4aa8c60945e30">mv</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] == <span class="vhdllogic">16&#39;h0000</span>) <span class="vhdlkeyword">begin</span>
106
<a name="l00074"></a>00074             <a class="code" href="classdrv__mouse.html#ac9710db152f414627502f002d9face2c">mv_wait</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
107
<a name="l00075"></a>00075             <a class="code" href="classdrv__mouse.html#a7c5f546d48d4a6f14e7003204b4c317e">was_ps2_mouseclk</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
108
<a name="l00076"></a>00076         <span class="vhdlkeyword">end</span>
109
<a name="l00077"></a>00077         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
110
<a name="l00078"></a>00078             <a class="code" href="classdrv__mouse.html#a7c5f546d48d4a6f14e7003204b4c317e">was_ps2_mouseclk</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
111
<a name="l00079"></a>00079         <span class="vhdlkeyword">end</span>
112
<a name="l00080"></a>00080     <span class="vhdlkeyword">end</span>
113
<a name="l00081"></a>00081 <span class="vhdlkeyword">end</span>
114
<a name="l00082"></a>00082
115
<a name="l00083"></a><a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">00083</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a>;
116
<a name="l00084"></a><a class="code" href="classdrv__mouse.html#a65fc4f8249cd41d6d6268a7a02977115">00084</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">9</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__mouse.html#a65fc4f8249cd41d6d6268a7a02977115">send_shift</a>;
117
<a name="l00085"></a><a class="code" href="classdrv__mouse.html#a306f9845ddea039eeae2c15a8c26dc2f">00085</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classdrv__mouse.html#a9d384b26cce32f3113a602e3ce9942ac">clk_30</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classdrv__mouse.html#ad072cf63605a675e6360788f66ef0a27">reset_n</a>) <span class="vhdlkeyword">begin</span>
118
<a name="l00086"></a>00086     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#ad072cf63605a675e6360788f66ef0a27">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
119
<a name="l00087"></a>00087         <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a>    &lt;= <span class="vhdllogic">12&#39;d0</span>;
120
<a name="l00088"></a>00088         <a class="code" href="classdrv__mouse.html#a65fc4f8249cd41d6d6268a7a02977115">send_shift</a>      &lt;= <span class="vhdllogic">10&#39;d0</span>;
121
<a name="l00089"></a>00089     <span class="vhdlkeyword">end</span>
122
<a name="l00090"></a>00090     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#abc84365f9b67f7c0c1bc71f24aa0ec1e">send_start</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
123
<a name="l00091"></a>00091         <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &lt;= <span class="vhdllogic">12&#39;d1</span>;
124
<a name="l00092"></a>00092         <a class="code" href="classdrv__mouse.html#a65fc4f8249cd41d6d6268a7a02977115">send_shift</a> &lt;= <a class="code" href="classdrv__mouse.html#a1e917caa38542b416521db59e2d1f054">send_contents</a>;
125
<a name="l00093"></a>00093     <span class="vhdlkeyword">end</span>
126
<a name="l00094"></a>00094     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &gt; <span class="vhdllogic">12&#39;d0</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &lt; <span class="vhdllogic">12&#39;d4000</span>) <span class="vhdlkeyword">begin</span>
127
<a name="l00095"></a>00095         <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &lt;= <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> + <span class="vhdllogic">12&#39;d1</span>;
128
<a name="l00096"></a>00096     <span class="vhdlkeyword">end</span>
129
<a name="l00097"></a>00097     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &gt;= <span class="vhdllogic">12&#39;d4000</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &lt;= <span class="vhdllogic">12&#39;d4009</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a7c5f546d48d4a6f14e7003204b4c317e">was_ps2_mouseclk</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
130
<a name="l00098"></a>00098         <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &lt;= <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> + <span class="vhdllogic">12&#39;d1</span>;
131
<a name="l00099"></a>00099         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &lt;= <span class="vhdllogic">12&#39;d4007</span>) <span class="vhdlkeyword">begin</span>
132
<a name="l00100"></a>00100             <a class="code" href="classdrv__mouse.html#a65fc4f8249cd41d6d6268a7a02977115">send_shift</a> &lt;= { <a class="code" href="classdrv__mouse.html#a65fc4f8249cd41d6d6268a7a02977115">send_shift</a>[<span class="vhdllogic">9</span>] ^ <a class="code" href="classdrv__mouse.html#a65fc4f8249cd41d6d6268a7a02977115">send_shift</a>[<span class="vhdllogic">0</span>], <span class="vhdllogic">1&#39;b0</span>, <a class="code" href="classdrv__mouse.html#a65fc4f8249cd41d6d6268a7a02977115">send_shift</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] };
133
<a name="l00101"></a>00101         <span class="vhdlkeyword">end</span>
134
<a name="l00102"></a>00102         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> == <span class="vhdllogic">12&#39;d4008</span>) <span class="vhdlkeyword">begin</span>
135
<a name="l00103"></a>00103             <a class="code" href="classdrv__mouse.html#a65fc4f8249cd41d6d6268a7a02977115">send_shift</a> &lt;= { <span class="vhdllogic">9&#39;d0</span>, <a class="code" href="classdrv__mouse.html#a65fc4f8249cd41d6d6268a7a02977115">send_shift</a>[<span class="vhdllogic">9</span>] ^ <a class="code" href="classdrv__mouse.html#a65fc4f8249cd41d6d6268a7a02977115">send_shift</a>[<span class="vhdllogic">0</span>] };
136
<a name="l00104"></a>00104         <span class="vhdlkeyword">end</span>
137
<a name="l00105"></a>00105     <span class="vhdlkeyword">end</span>
138
<a name="l00106"></a>00106     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> == <span class="vhdllogic">12&#39;d4010</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a7c5f546d48d4a6f14e7003204b4c317e">was_ps2_mouseclk</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
139
<a name="l00107"></a>00107         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a6a1e839aabe9b2d66aa3b689841d6700">ps2_mousedat</a> == <span class="vhdllogic">1&#39;b0</span>) <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &lt;= <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> + <span class="vhdllogic">12&#39;d1</span>;
140
<a name="l00108"></a>00108     <span class="vhdlkeyword">end</span>
141
<a name="l00109"></a>00109     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> == <span class="vhdllogic">12&#39;d4011</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a5a18eb50070bafcb9bfd2b3937486281">ps2_mouseclk</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a6a1e839aabe9b2d66aa3b689841d6700">ps2_mousedat</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
142
<a name="l00110"></a>00110         <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
143
<a name="l00111"></a>00111     <span class="vhdlkeyword">end</span>
144
<a name="l00112"></a>00112 <span class="vhdlkeyword">end</span>
145
<a name="l00113"></a>00113
146
<a name="l00114"></a><a class="code" href="classdrv__mouse.html#abc84365f9b67f7c0c1bc71f24aa0ec1e">00114</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classdrv__mouse.html#abc84365f9b67f7c0c1bc71f24aa0ec1e">send_start</a>;
147
<a name="l00115"></a><a class="code" href="classdrv__mouse.html#a1e917caa38542b416521db59e2d1f054">00115</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">9</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__mouse.html#a1e917caa38542b416521db59e2d1f054">send_contents</a>;
148
<a name="l00116"></a><a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">00116</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">23</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a>;
149
<a name="l00117"></a><a class="code" href="classdrv__mouse.html#a59fec9dd8032a2437498d8b1f0578aec">00117</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classdrv__mouse.html#a9d384b26cce32f3113a602e3ce9942ac">clk_30</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classdrv__mouse.html#ad072cf63605a675e6360788f66ef0a27">reset_n</a>) <span class="vhdlkeyword">begin</span>
150
<a name="l00118"></a>00118     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#ad072cf63605a675e6360788f66ef0a27">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
151
<a name="l00119"></a>00119         <a class="code" href="classdrv__mouse.html#abc84365f9b67f7c0c1bc71f24aa0ec1e">send_start</a>      &lt;= <span class="vhdllogic">1&#39;b0</span>;
152
<a name="l00120"></a>00120         <a class="code" href="classdrv__mouse.html#a1e917caa38542b416521db59e2d1f054">send_contents</a>   &lt;= <span class="vhdllogic">10&#39;d0</span>;
153
<a name="l00121"></a>00121         <a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a>    &lt;= <span class="vhdllogic">24&#39;d0</span>;
154
<a name="l00122"></a>00122     <span class="vhdlkeyword">end</span>
155
<a name="l00123"></a>00123     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> &lt; <span class="vhdllogic">24&#39;hFFFFF0</span>) <span class="vhdlkeyword">begin</span>
156
<a name="l00124"></a>00124         <a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> &lt;= <a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> + <span class="vhdllogic">16&#39;d1</span>;
157
<a name="l00125"></a>00125     <span class="vhdlkeyword">end</span>
158
<a name="l00126"></a>00126     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> == <span class="vhdllogic">24&#39;hFFFFF0</span>) <span class="vhdlkeyword">begin</span>
159
<a name="l00127"></a>00127         <a class="code" href="classdrv__mouse.html#a1e917caa38542b416521db59e2d1f054">send_contents</a> &lt;= { <span class="vhdllogic">1&#39;b1</span>, <span class="vhdllogic">8&#39;hF4</span>, <span class="vhdllogic">1&#39;b0</span> };
160
<a name="l00128"></a>00128         <a class="code" href="classdrv__mouse.html#abc84365f9b67f7c0c1bc71f24aa0ec1e">send_start</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
161
<a name="l00129"></a>00129         <a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> &lt;= <a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> + <span class="vhdllogic">24&#39;d1</span>;
162
<a name="l00130"></a>00130     <span class="vhdlkeyword">end</span>
163
<a name="l00131"></a>00131     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> == <span class="vhdllogic">24&#39;hFFFFF1</span>) <span class="vhdlkeyword">begin</span>
164
<a name="l00132"></a>00132         <a class="code" href="classdrv__mouse.html#abc84365f9b67f7c0c1bc71f24aa0ec1e">send_start</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
165
<a name="l00133"></a>00133         <a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> &lt;= <a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> + <span class="vhdllogic">24&#39;d1</span>;
166
<a name="l00134"></a>00134     <span class="vhdlkeyword">end</span>
167
<a name="l00135"></a>00135     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> == <span class="vhdllogic">24&#39;hFFFFF2</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a4d63eb29f8df208a45010fc5fe22e246">send_counter</a> == <span class="vhdllogic">12&#39;d0</span>) <span class="vhdlkeyword">begin</span>
168
<a name="l00136"></a>00136         <a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> &lt;= <a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> + <span class="vhdllogic">24&#39;d1</span>;
169
<a name="l00137"></a>00137     <span class="vhdlkeyword">end</span>
170
<a name="l00138"></a>00138     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> == <span class="vhdllogic">24&#39;hFFFFF3</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a1894eb5d2aaa7cf89dd823d86dafd711">new_ps2</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#af43ace7c3608705dd5fba3418495acab">mousedat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] == <span class="vhdllogic">8&#39;hFA</span>) <span class="vhdlkeyword">begin</span>
171
<a name="l00139"></a>00139         <a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> &lt;= <span class="vhdllogic">24&#39;hFFFFFF</span>;
172
<a name="l00140"></a>00140     <span class="vhdlkeyword">end</span>
173
<a name="l00141"></a>00141     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> == <span class="vhdllogic">24&#39;hFFFFFF</span> &amp;&amp; (<a class="code" href="classdrv__mouse.html#a25e71425d2ce25a3cfb0e6f17a25fc9c">mousedat_timeout</a> == <span class="vhdllogic">24&#39;hFFFFFF</span> || <a class="code" href="classdrv__mouse.html#a930b8db185f7196191d3a36b0ed7c19e">movement_timeout</a> == <span class="vhdllogic">24&#39;hFFFFFF</span>)) <span class="vhdlkeyword">begin</span>
174
<a name="l00142"></a>00142         <a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> &lt;= <span class="vhdllogic">24&#39;h0</span>;
175
<a name="l00143"></a>00143     <span class="vhdlkeyword">end</span>
176
<a name="l00144"></a>00144 <span class="vhdlkeyword">end</span>
177
<a name="l00145"></a>00145
178
<a name="l00146"></a><a class="code" href="classdrv__mouse.html#a1894eb5d2aaa7cf89dd823d86dafd711">00146</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classdrv__mouse.html#a1894eb5d2aaa7cf89dd823d86dafd711">new_ps2</a>;
179
<a name="l00147"></a>00147 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__mouse.html#a1894eb5d2aaa7cf89dd823d86dafd711">new_ps2</a> = (<a class="code" href="classdrv__mouse.html#a7c5f546d48d4a6f14e7003204b4c317e">was_ps2_mouseclk</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a0e6bf9f76b9169308322b9af010703c3">mousedat_counter</a> == <span class="vhdllogic">4&#39;d10</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#af43ace7c3608705dd5fba3418495acab">mousedat</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a6a1e839aabe9b2d66aa3b689841d6700">ps2_mousedat</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#aa76b4a04c9578f22cdb6c8b108bdb130">mousedat_parity</a> == <span class="vhdllogic">1&#39;b1</span>);
180
<a name="l00148"></a>00148
181
<a name="l00149"></a><a class="code" href="classdrv__mouse.html#af43ace7c3608705dd5fba3418495acab">00149</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">9</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__mouse.html#af43ace7c3608705dd5fba3418495acab">mousedat</a>;
182
<a name="l00150"></a><a class="code" href="classdrv__mouse.html#a0e6bf9f76b9169308322b9af010703c3">00150</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__mouse.html#a0e6bf9f76b9169308322b9af010703c3">mousedat_counter</a>;
183
<a name="l00151"></a><a class="code" href="classdrv__mouse.html#a25e71425d2ce25a3cfb0e6f17a25fc9c">00151</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">23</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__mouse.html#a25e71425d2ce25a3cfb0e6f17a25fc9c">mousedat_timeout</a>;
184
<a name="l00152"></a><a class="code" href="classdrv__mouse.html#aa76b4a04c9578f22cdb6c8b108bdb130">00152</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classdrv__mouse.html#aa76b4a04c9578f22cdb6c8b108bdb130">mousedat_parity</a>;
185
<a name="l00153"></a>00153
186
<a name="l00154"></a><a class="code" href="classdrv__mouse.html#a0ad02a10389ed209844416a388b18640">00154</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classdrv__mouse.html#a9d384b26cce32f3113a602e3ce9942ac">clk_30</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classdrv__mouse.html#ad072cf63605a675e6360788f66ef0a27">reset_n</a>) <span class="vhdlkeyword">begin</span>
187
<a name="l00155"></a>00155     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#ad072cf63605a675e6360788f66ef0a27">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
188
<a name="l00156"></a>00156         <a class="code" href="classdrv__mouse.html#af43ace7c3608705dd5fba3418495acab">mousedat</a>            &lt;= <span class="vhdllogic">10&#39;d0</span>;
189
<a name="l00157"></a>00157         <a class="code" href="classdrv__mouse.html#a0e6bf9f76b9169308322b9af010703c3">mousedat_counter</a>    &lt;= <span class="vhdllogic">4&#39;d0</span>;
190
<a name="l00158"></a>00158         <a class="code" href="classdrv__mouse.html#aa76b4a04c9578f22cdb6c8b108bdb130">mousedat_parity</a>     &lt;= <span class="vhdllogic">1&#39;b0</span>;
191
<a name="l00159"></a>00159         <a class="code" href="classdrv__mouse.html#a25e71425d2ce25a3cfb0e6f17a25fc9c">mousedat_timeout</a>    &lt;= <span class="vhdllogic">24&#39;d0</span>;
192
<a name="l00160"></a>00160     <span class="vhdlkeyword">end</span>
193
<a name="l00161"></a>00161     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> &gt;= <span class="vhdllogic">24&#39;hFFFFF3</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a25e71425d2ce25a3cfb0e6f17a25fc9c">mousedat_timeout</a> != <span class="vhdllogic">24&#39;hFFFFFF</span>) <span class="vhdlkeyword">begin</span>
194
<a name="l00162"></a>00162
195
<a name="l00163"></a>00163         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a0e6bf9f76b9169308322b9af010703c3">mousedat_counter</a> != <span class="vhdllogic">4&#39;d0</span>)        <a class="code" href="classdrv__mouse.html#a25e71425d2ce25a3cfb0e6f17a25fc9c">mousedat_timeout</a> &lt;= <a class="code" href="classdrv__mouse.html#a25e71425d2ce25a3cfb0e6f17a25fc9c">mousedat_timeout</a> + <span class="vhdllogic">24&#39;d1</span>;
196
<a name="l00164"></a>00164         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a0e6bf9f76b9169308322b9af010703c3">mousedat_counter</a> == <span class="vhdllogic">4&#39;d0</span>)   <a class="code" href="classdrv__mouse.html#a25e71425d2ce25a3cfb0e6f17a25fc9c">mousedat_timeout</a> &lt;= <span class="vhdllogic">24&#39;d0</span>;
197
<a name="l00165"></a>00165
198
<a name="l00166"></a>00166         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a7c5f546d48d4a6f14e7003204b4c317e">was_ps2_mouseclk</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
199
<a name="l00167"></a>00167             <a class="code" href="classdrv__mouse.html#af43ace7c3608705dd5fba3418495acab">mousedat</a> &lt;= { <a class="code" href="classdrv__mouse.html#a6a1e839aabe9b2d66aa3b689841d6700">ps2_mousedat</a>, <a class="code" href="classdrv__mouse.html#af43ace7c3608705dd5fba3418495acab">mousedat</a>[<span class="vhdllogic">9</span>:<span class="vhdllogic">1</span>] };
200
<a name="l00168"></a>00168
201
<a name="l00169"></a>00169             <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a0e6bf9f76b9169308322b9af010703c3">mousedat_counter</a> == <span class="vhdllogic">4&#39;d10</span>) <span class="vhdlkeyword">begin</span>
202
<a name="l00170"></a>00170                 <a class="code" href="classdrv__mouse.html#a0e6bf9f76b9169308322b9af010703c3">mousedat_counter</a> &lt;= <span class="vhdllogic">4&#39;d0</span>;
203
<a name="l00171"></a>00171             <span class="vhdlkeyword">end</span>
204
<a name="l00172"></a>00172             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
205
<a name="l00173"></a>00173                 <a class="code" href="classdrv__mouse.html#a0e6bf9f76b9169308322b9af010703c3">mousedat_counter</a> &lt;= <a class="code" href="classdrv__mouse.html#a0e6bf9f76b9169308322b9af010703c3">mousedat_counter</a> + <span class="vhdllogic">4&#39;d1</span>;
206
<a name="l00174"></a>00174                 <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a0e6bf9f76b9169308322b9af010703c3">mousedat_counter</a> == <span class="vhdllogic">4&#39;d0</span>)   <a class="code" href="classdrv__mouse.html#aa76b4a04c9578f22cdb6c8b108bdb130">mousedat_parity</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
207
<a name="l00175"></a>00175                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a6a1e839aabe9b2d66aa3b689841d6700">ps2_mousedat</a> == <span class="vhdllogic">1&#39;b1</span>)  <a class="code" href="classdrv__mouse.html#aa76b4a04c9578f22cdb6c8b108bdb130">mousedat_parity</a> &lt;= ~<a class="code" href="classdrv__mouse.html#aa76b4a04c9578f22cdb6c8b108bdb130">mousedat_parity</a>;
208
<a name="l00176"></a>00176             <span class="vhdlkeyword">end</span>
209
<a name="l00177"></a>00177         <span class="vhdlkeyword">end</span>
210
<a name="l00178"></a>00178     <span class="vhdlkeyword">end</span>
211
<a name="l00179"></a>00179     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
212
<a name="l00180"></a>00180         <a class="code" href="classdrv__mouse.html#af43ace7c3608705dd5fba3418495acab">mousedat</a>            &lt;= <span class="vhdllogic">10&#39;d0</span>;
213
<a name="l00181"></a>00181         <a class="code" href="classdrv__mouse.html#a0e6bf9f76b9169308322b9af010703c3">mousedat_counter</a>    &lt;= <span class="vhdllogic">4&#39;d0</span>;
214
<a name="l00182"></a>00182         <a class="code" href="classdrv__mouse.html#aa76b4a04c9578f22cdb6c8b108bdb130">mousedat_parity</a>     &lt;= <span class="vhdllogic">1&#39;b0</span>;
215
<a name="l00183"></a>00183         <a class="code" href="classdrv__mouse.html#a25e71425d2ce25a3cfb0e6f17a25fc9c">mousedat_timeout</a>    &lt;= <span class="vhdllogic">24&#39;d0</span>;
216
<a name="l00184"></a>00184     <span class="vhdlkeyword">end</span>
217
<a name="l00185"></a>00185 <span class="vhdlkeyword">end</span>
218
<a name="l00186"></a>00186
219
<a name="l00187"></a>00187 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__mouse.html#a2891cda659c8582d9b73ef8674aafc7b">mouse_y_move</a> = (<a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>[<span class="vhdllogic">23</span>] == <span class="vhdllogic">1&#39;b0</span>)? { <a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>[<span class="vhdllogic">21</span>], <a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] }  : <span class="vhdllogic">9&#39;d0</span>;
220
<a name="l00188"></a>00188 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__mouse.html#ab8618daeef64bc9852837b4eb36d2472">mouse_x_move</a> = (<a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>[<span class="vhdllogic">22</span>] == <span class="vhdllogic">1&#39;b0</span>)? { <a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>[<span class="vhdllogic">20</span>], <a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>] }  : <span class="vhdllogic">9&#39;d0</span>;
221
<a name="l00189"></a>00189 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__mouse.html#aa3e6a44e1cfb05c4aca876d93480cea1">mouse_left_button</a>    = <a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>[<span class="vhdllogic">16</span>];
222
<a name="l00190"></a>00190 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__mouse.html#a5d2c90a52b10f2ee711ccafeafe8682f">mouse_right_button</a>   = <a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>[<span class="vhdllogic">17</span>];
223
<a name="l00191"></a>00191 <span class="vhdlkeyword">assign</span> <a class="code" href="classdrv__mouse.html#a79178cc0f7325f16d3aa3b099f5a51be">mouse_middle_button</a>  = <a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>[<span class="vhdllogic">18</span>];
224
<a name="l00192"></a>00192
225
<a name="l00193"></a><a class="code" href="classdrv__mouse.html#a30ce0c4b349e6b2ef4dd99a303ca7776">00193</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__mouse.html#a30ce0c4b349e6b2ef4dd99a303ca7776">movement_counter</a>;
226
<a name="l00194"></a><a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">00194</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">23</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>;
227
<a name="l00195"></a><a class="code" href="classdrv__mouse.html#a930b8db185f7196191d3a36b0ed7c19e">00195</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">23</span>:<span class="vhdllogic">0</span>] <a class="code" href="classdrv__mouse.html#a930b8db185f7196191d3a36b0ed7c19e">movement_timeout</a>;
228
<a name="l00196"></a>00196
229
<a name="l00197"></a><a class="code" href="classdrv__mouse.html#a8394740a6202ee0b3ad22bf9a0efd24c">00197</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classdrv__mouse.html#a9d384b26cce32f3113a602e3ce9942ac">clk_30</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classdrv__mouse.html#ad072cf63605a675e6360788f66ef0a27">reset_n</a>) <span class="vhdlkeyword">begin</span>
230
<a name="l00198"></a>00198     <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#ad072cf63605a675e6360788f66ef0a27">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
231
<a name="l00199"></a>00199         <a class="code" href="classdrv__mouse.html#a30ce0c4b349e6b2ef4dd99a303ca7776">movement_counter</a>    &lt;= <span class="vhdllogic">2&#39;d0</span>;
232
<a name="l00200"></a>00200         <a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>            &lt;= <span class="vhdllogic">24&#39;d0</span>;
233
<a name="l00201"></a>00201         <a class="code" href="classdrv__mouse.html#a094f3449c1933821d95881b3b2a3f12d">mouse_moved</a>         &lt;= <span class="vhdllogic">1&#39;b0</span>;
234
<a name="l00202"></a>00202         <a class="code" href="classdrv__mouse.html#a930b8db185f7196191d3a36b0ed7c19e">movement_timeout</a>    &lt;= <span class="vhdllogic">24&#39;d0</span>;
235
<a name="l00203"></a>00203     <span class="vhdlkeyword">end</span>
236
<a name="l00204"></a>00204     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#aff71135fe469e401402bd18c95c28f9b">ctrl_counter</a> == <span class="vhdllogic">24&#39;hFFFFFF</span> &amp;&amp; <a class="code" href="classdrv__mouse.html#a930b8db185f7196191d3a36b0ed7c19e">movement_timeout</a> != <span class="vhdllogic">24&#39;hFFFFFF</span>) <span class="vhdlkeyword">begin</span>
237
<a name="l00205"></a>00205
238
<a name="l00206"></a>00206         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a30ce0c4b349e6b2ef4dd99a303ca7776">movement_counter</a> != <span class="vhdllogic">4&#39;d0</span>)        <a class="code" href="classdrv__mouse.html#a930b8db185f7196191d3a36b0ed7c19e">movement_timeout</a> &lt;= <a class="code" href="classdrv__mouse.html#a930b8db185f7196191d3a36b0ed7c19e">movement_timeout</a> + <span class="vhdllogic">24&#39;d1</span>;
239
<a name="l00207"></a>00207         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a30ce0c4b349e6b2ef4dd99a303ca7776">movement_counter</a> == <span class="vhdllogic">4&#39;d0</span>)   <a class="code" href="classdrv__mouse.html#a930b8db185f7196191d3a36b0ed7c19e">movement_timeout</a> &lt;= <span class="vhdllogic">24&#39;d0</span>;
240
<a name="l00208"></a>00208
241
<a name="l00209"></a>00209         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a094f3449c1933821d95881b3b2a3f12d">mouse_moved</a> == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classdrv__mouse.html#a094f3449c1933821d95881b3b2a3f12d">mouse_moved</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
242
<a name="l00210"></a>00210
243
<a name="l00211"></a>00211         <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a1894eb5d2aaa7cf89dd823d86dafd711">new_ps2</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
244
<a name="l00212"></a>00212             <a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a> &lt;= { <a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>], <a class="code" href="classdrv__mouse.html#af43ace7c3608705dd5fba3418495acab">mousedat</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] };
245
<a name="l00213"></a>00213             <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a30ce0c4b349e6b2ef4dd99a303ca7776">movement_counter</a> == <span class="vhdllogic">2&#39;d2</span>) <span class="vhdlkeyword">begin</span>
246
<a name="l00214"></a>00214                 <a class="code" href="classdrv__mouse.html#a30ce0c4b349e6b2ef4dd99a303ca7776">movement_counter</a> &lt;= <span class="vhdllogic">2&#39;d0</span>;
247
<a name="l00215"></a>00215
248
<a name="l00216"></a>00216                 <span class="vhdlkeyword">if</span>(<a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>[<span class="vhdllogic">11</span>] == <span class="vhdllogic">1&#39;b1</span>)    <a class="code" href="classdrv__mouse.html#a094f3449c1933821d95881b3b2a3f12d">mouse_moved</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
249
<a name="l00217"></a>00217                 <span class="vhdlkeyword">else</span>                        <a class="code" href="classdrv__mouse.html#a930b8db185f7196191d3a36b0ed7c19e">movement_timeout</a> &lt;= <span class="vhdllogic">24&#39;hFFFFFF</span>;
250
<a name="l00218"></a>00218             <span class="vhdlkeyword">end</span>
251
<a name="l00219"></a>00219             <span class="vhdlkeyword">else</span> <a class="code" href="classdrv__mouse.html#a30ce0c4b349e6b2ef4dd99a303ca7776">movement_counter</a> &lt;= <a class="code" href="classdrv__mouse.html#a30ce0c4b349e6b2ef4dd99a303ca7776">movement_counter</a> + <span class="vhdllogic">2&#39;d1</span>;
252
<a name="l00220"></a>00220         <span class="vhdlkeyword">end</span>
253
<a name="l00221"></a>00221     <span class="vhdlkeyword">end</span>
254
<a name="l00222"></a>00222     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
255
<a name="l00223"></a>00223         <a class="code" href="classdrv__mouse.html#a30ce0c4b349e6b2ef4dd99a303ca7776">movement_counter</a>    &lt;= <span class="vhdllogic">2&#39;d0</span>;
256
<a name="l00224"></a>00224         <a class="code" href="classdrv__mouse.html#a026f022a5a697ccc16b004aca7788be4">movement</a>            &lt;= <span class="vhdllogic">24&#39;d0</span>;
257
<a name="l00225"></a>00225         <a class="code" href="classdrv__mouse.html#a094f3449c1933821d95881b3b2a3f12d">mouse_moved</a>         &lt;= <span class="vhdllogic">1&#39;b0</span>;
258
<a name="l00226"></a>00226         <a class="code" href="classdrv__mouse.html#a930b8db185f7196191d3a36b0ed7c19e">movement_timeout</a>    &lt;= <span class="vhdllogic">24&#39;d0</span>;
259
<a name="l00227"></a>00227     <span class="vhdlkeyword">end</span>
260
<a name="l00228"></a>00228 <span class="vhdlkeyword">end</span>
261
<a name="l00229"></a>00229
262
<a name="l00230"></a>00230 <span class="vhdlkeyword">endmodule</span>
263
</pre></div></div>
264
</div>
265
<hr class="footer"/><address class="footer"><small>Generated on Mon Dec 20 2010 21:20:18 for aoOCS by&#160;
266
<a href="http://www.doxygen.org/index.html">
267
<img class="footer" src="doxygen.png" alt="doxygen"/></a> 1.7.2 </small></address>
268
</body>
269
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.