OpenCores
URL https://opencores.org/ocsvn/aoocs/aoocs/trunk

Subversion Repositories aoocs

[/] [aoocs/] [trunk/] [rtl/] [terasic_de2_70/] [drv_eth_vga_capture.mif] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
DEPTH = 64;
2
WIDTH = 16;
3
ADDRESS_RADIX = DEC;
4
DATA_RADIX = HEX;
5
CONTENT
6
BEGIN
7
0: 1600;        -- mac dest
8
1: 56D4;
9
2: 6FAF;
10
 
11
3: AAAA;        -- mac src
12
4: AAAA;
13
5: AAAA;
14
 
15
6: 0008;        -- ethernet type
16
 
17
7: 0045;        -- ip header
18
8: DE03;
19
9: 0000;
20
10: 0040;
21
11: 110F;
22
12: 0000;
23
13: 0101;
24
14: 0101;
25
15: 0101;
26
16: 0201;
27
 
28
17: 0100;       -- udp header
29
18: 0200;
30
19: CA03;
31
20: 0000;
32
 
33
[21..63]: 0000;
34
 
35
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.