1 |
2 |
redblue200 |
----------------------------------------------------------------------------------
|
2 |
|
|
-- Company : OCST Co.,Ltd.
|
3 |
|
|
-- Engineer : RyuShinHyung
|
4 |
|
|
--
|
5 |
|
|
-- Create Date : 02/23/2005
|
6 |
|
|
-- Design Name :
|
7 |
|
|
-- Module Name : ENC8B10B - RTL
|
8 |
|
|
-- Project Name : DSP Application
|
9 |
|
|
--
|
10 |
|
|
-- Revision
|
11 |
|
|
-- Revision 0.01 - File Created
|
12 |
|
|
-- Comments : General ENC8B10B
|
13 |
|
|
--
|
14 |
|
|
----------------------------------------------------------------------------------
|
15 |
|
|
library IEEE;
|
16 |
|
|
use IEEE.STD_LOGIC_1164.ALL;
|
17 |
|
|
use IEEE.STD_LOGIC_ARITH.ALL;
|
18 |
|
|
use IEEE.STD_LOGIC_UNSIGNED.ALL;
|
19 |
|
|
use work.vect_pack.ALL;
|
20 |
|
|
|
21 |
|
|
entity ENC8B10B is
|
22 |
|
|
port
|
23 |
|
|
(
|
24 |
|
|
CLK_IN : in STD_LOGIC;
|
25 |
|
|
RUNDP_RESET_IN : in STD_LOGIC;
|
26 |
|
|
CTRL_IN : in STD_LOGIC;
|
27 |
|
|
DATA_IN : in STD_LOGIC_VECTOR(7 downto 0);
|
28 |
|
|
RUNDP_OUT : out STD_LOGIC;
|
29 |
|
|
ENCODE_OUT : out STD_LOGIC_VECTOR(9 downto 0)
|
30 |
|
|
);
|
31 |
|
|
attribute FAST : string;
|
32 |
|
|
attribute SLOW : string;
|
33 |
|
|
attribute FAST of ENC8B10B : entity is "TRUE";
|
34 |
|
|
attribute SLOW of ENC8B10B : entity is "FALSE";
|
35 |
|
|
end ENC8B10B;
|
36 |
|
|
|
37 |
|
|
architecture RTL of ENC8B10B is
|
38 |
|
|
|
39 |
|
|
type TYPE_ENC8b10b is array (0 to 1023) of std_logic_vector (10 downto 0); -- RD & Dx.y
|
40 |
|
|
signal TBL_ENC8b10b : TYPE_ENC8b10b:=
|
41 |
|
|
(
|
42 |
|
|
-- "Rjhgfiedcba" -- RD(Pre) + Dx.y => RD(Post)
|
43 |
|
|
"00010111001", -- "00000000" -D00.0- [0]
|
44 |
|
|
"00010101110", -- "00000001" -D01.0- [1]
|
45 |
|
|
"00010101101", -- "00000010" -D02.0- [2]
|
46 |
|
|
"11101100011", -- "00000011" -D03.0+ [3]
|
47 |
|
|
"00010101011", -- "00000100" -D04.0- [4]
|
48 |
|
|
"11101100101", -- "00000101" -D05.0+ [5]
|
49 |
|
|
"11101100110", -- "00000110" -D06.0+ [6]
|
50 |
|
|
"11101000111", -- "00000111" -D07.0+ [7]
|
51 |
|
|
"00010100111", -- "00001000" -D08.0- [8]
|
52 |
|
|
"11101101001", -- "00001001" -D09.0+ [9]
|
53 |
|
|
"11101101010", -- "00001010" -D10.0+ [10]
|
54 |
|
|
"11101001011", -- "00001011" -D11.0+ [11]
|
55 |
|
|
"11101101100", -- "00001100" -D12.0+ [12]
|
56 |
|
|
"11101001101", -- "00001101" -D13.0+ [13]
|
57 |
|
|
"11101001110", -- "00001110" -D14.0+ [14]
|
58 |
|
|
"00010111010", -- "00001111" -D15.0- [15]
|
59 |
|
|
"00010110110", -- "00010000" -D16.0- [16]
|
60 |
|
|
"11101110001", -- "00010001" -D17.0+ [17]
|
61 |
|
|
"11101110010", -- "00010010" -D18.0+ [18]
|
62 |
|
|
"11101010011", -- "00010011" -D19.0+ [19]
|
63 |
|
|
"11101110100", -- "00010100" -D20.0+ [20]
|
64 |
|
|
"11101010101", -- "00010101" -D21.0+ [21]
|
65 |
|
|
"11101010110", -- "00010110" -D22.0+ [22]
|
66 |
|
|
"00010010111", -- "00010111" -D23.0- [23]
|
67 |
|
|
"00010110011", -- "00011000" -D24.0- [24]
|
68 |
|
|
"11101011001", -- "00011001" -D25.0+ [25]
|
69 |
|
|
"11101011010", -- "00011010" -D26.0+ [26]
|
70 |
|
|
"00010011011", -- "00011011" -D27.0- [27]
|
71 |
|
|
"11101011100", -- "00011100" -D28.0+ [28]
|
72 |
|
|
"00010011101", -- "00011101" -D29.0- [29]
|
73 |
|
|
"00010011110", -- "00011110" -D30.0- [30]
|
74 |
|
|
"00010110101", -- "00011111" -D31.0- [31]
|
75 |
|
|
"11001111001", -- "00100000" -D00.1+ [32]
|
76 |
|
|
"11001101110", -- "00100001" -D01.1+ [33]
|
77 |
|
|
"11001101101", -- "00100010" -D02.1+ [34]
|
78 |
|
|
"01001100011", -- "00100011" -D03.1- [35]
|
79 |
|
|
"11001101011", -- "00100100" -D04.1+ [36]
|
80 |
|
|
"01001100101", -- "00100101" -D05.1- [37]
|
81 |
|
|
"01001100110", -- "00100110" -D06.1- [38]
|
82 |
|
|
"01001000111", -- "00100111" -D07.1- [39]
|
83 |
|
|
"11001100111", -- "00101000" -D08.1+ [40]
|
84 |
|
|
"01001101001", -- "00101001" -D09.1- [41]
|
85 |
|
|
"01001101010", -- "00101010" -D10.1- [42]
|
86 |
|
|
"01001001011", -- "00101011" -D11.1- [43]
|
87 |
|
|
"01001101100", -- "00101100" -D12.1- [44]
|
88 |
|
|
"01001001101", -- "00101101" -D13.1- [45]
|
89 |
|
|
"01001001110", -- "00101110" -D14.1- [46]
|
90 |
|
|
"11001111010", -- "00101111" -D15.1+ [47]
|
91 |
|
|
"11001110110", -- "00110000" -D16.1+ [48]
|
92 |
|
|
"01001110001", -- "00110001" -D17.1- [49]
|
93 |
|
|
"01001110010", -- "00110010" -D18.1- [50]
|
94 |
|
|
"01001010011", -- "00110011" -D19.1- [51]
|
95 |
|
|
"01001110100", -- "00110100" -D20.1- [52]
|
96 |
|
|
"01001010101", -- "00110101" -D21.1- [53]
|
97 |
|
|
"01001010110", -- "00110110" -D22.1- [54]
|
98 |
|
|
"11001010111", -- "00110111" -D23.1+ [55]
|
99 |
|
|
"11001110011", -- "00111000" -D24.1+ [56]
|
100 |
|
|
"01001011001", -- "00111001" -D25.1- [57]
|
101 |
|
|
"01001011010", -- "00111010" -D26.1- [58]
|
102 |
|
|
"11001011011", -- "00111011" -D27.1+ [59]
|
103 |
|
|
"01001011100", -- "00111100" -D28.1- [60]
|
104 |
|
|
"11001011101", -- "00111101" -D29.1+ [61]
|
105 |
|
|
"11001011110", -- "00111110" -D30.1+ [62]
|
106 |
|
|
"11001110101", -- "00111111" -D31.1+ [63]
|
107 |
|
|
"11010111001", -- "01000000" -D00.2+ [64]
|
108 |
|
|
"11010101110", -- "01000001" -D01.2+ [65]
|
109 |
|
|
"11010101101", -- "01000010" -D02.2+ [66]
|
110 |
|
|
"01010100011", -- "01000011" -D03.2- [67]
|
111 |
|
|
"11010101011", -- "01000100" -D04.2+ [68]
|
112 |
|
|
"01010100101", -- "01000101" -D05.2- [69]
|
113 |
|
|
"01010100110", -- "01000110" -D06.2- [70]
|
114 |
|
|
"01010000111", -- "01000111" -D07.2- [71]
|
115 |
|
|
"11010100111", -- "01001000" -D08.2+ [72]
|
116 |
|
|
"01010101001", -- "01001001" -D09.2- [73]
|
117 |
|
|
"01010101010", -- "01001010" -D10.2- [74]
|
118 |
|
|
"01010001011", -- "01001011" -D11.2- [75]
|
119 |
|
|
"01010101100", -- "01001100" -D12.2- [76]
|
120 |
|
|
"01010001101", -- "01001101" -D13.2- [77]
|
121 |
|
|
"01010001110", -- "01001110" -D14.2- [78]
|
122 |
|
|
"11010111010", -- "01001111" -D15.2+ [79]
|
123 |
|
|
"11010110110", -- "01010000" -D16.2+ [80]
|
124 |
|
|
"01010110001", -- "01010001" -D17.2- [81]
|
125 |
|
|
"01010110010", -- "01010010" -D18.2- [82]
|
126 |
|
|
"01010010011", -- "01010011" -D19.2- [83]
|
127 |
|
|
"01010110100", -- "01010100" -D20.2- [84]
|
128 |
|
|
"01010010101", -- "01010101" -D21.2- [85]
|
129 |
|
|
"01010010110", -- "01010110" -D22.2- [86]
|
130 |
|
|
"11010010111", -- "01010111" -D23.2+ [87]
|
131 |
|
|
"11010110011", -- "01011000" -D24.2+ [88]
|
132 |
|
|
"01010011001", -- "01011001" -D25.2- [89]
|
133 |
|
|
"01010011010", -- "01011010" -D26.2- [90]
|
134 |
|
|
"11010011011", -- "01011011" -D27.2+ [91]
|
135 |
|
|
"01010011100", -- "01011100" -D28.2- [92]
|
136 |
|
|
"11010011101", -- "01011101" -D29.2+ [93]
|
137 |
|
|
"11010011110", -- "01011110" -D30.2+ [94]
|
138 |
|
|
"11010110101", -- "01011111" -D31.2+ [95]
|
139 |
|
|
"11100111001", -- "01100000" -D00.3+ [96]
|
140 |
|
|
"11100101110", -- "01100001" -D01.3+ [97]
|
141 |
|
|
"11100101101", -- "01100010" -D02.3+ [98]
|
142 |
|
|
"00011100011", -- "01100011" -D03.3- [99]
|
143 |
|
|
"11100101011", -- "01100100" -D04.3+ [100]
|
144 |
|
|
"00011100101", -- "01100101" -D05.3- [101]
|
145 |
|
|
"00011100110", -- "01100110" -D06.3- [102]
|
146 |
|
|
"00011000111", -- "01100111" -D07.3- [103]
|
147 |
|
|
"11100100111", -- "01101000" -D08.3+ [104]
|
148 |
|
|
"00011101001", -- "01101001" -D09.3- [105]
|
149 |
|
|
"00011101010", -- "01101010" -D10.3- [106]
|
150 |
|
|
"00011001011", -- "01101011" -D11.3- [107]
|
151 |
|
|
"00011101100", -- "01101100" -D12.3- [108]
|
152 |
|
|
"00011001101", -- "01101101" -D13.3- [109]
|
153 |
|
|
"00011001110", -- "01101110" -D14.3- [110]
|
154 |
|
|
"11100111010", -- "01101111" -D15.3+ [111]
|
155 |
|
|
"11100110110", -- "01110000" -D16.3+ [112]
|
156 |
|
|
"00011110001", -- "01110001" -D17.3- [113]
|
157 |
|
|
"00011110010", -- "01110010" -D18.3- [114]
|
158 |
|
|
"00011010011", -- "01110011" -D19.3- [115]
|
159 |
|
|
"00011110100", -- "01110100" -D20.3- [116]
|
160 |
|
|
"00011010101", -- "01110101" -D21.3- [117]
|
161 |
|
|
"00011010110", -- "01110110" -D22.3- [118]
|
162 |
|
|
"11100010111", -- "01110111" -D23.3+ [119]
|
163 |
|
|
"11100110011", -- "01111000" -D24.3+ [120]
|
164 |
|
|
"00011011001", -- "01111001" -D25.3- [121]
|
165 |
|
|
"00011011010", -- "01111010" -D26.3- [122]
|
166 |
|
|
"11100011011", -- "01111011" -D27.3+ [123]
|
167 |
|
|
"00011011100", -- "01111100" -D28.3- [124]
|
168 |
|
|
"11100011101", -- "01111101" -D29.3+ [125]
|
169 |
|
|
"11100011110", -- "01111110" -D30.3+ [126]
|
170 |
|
|
"11100110101", -- "01111111" -D31.3+ [127]
|
171 |
|
|
"00100111001", -- "10000000" -D00.4- [128]
|
172 |
|
|
"00100101110", -- "10000001" -D01.4- [129]
|
173 |
|
|
"00100101101", -- "10000010" -D02.4- [130]
|
174 |
|
|
"11011100011", -- "10000011" -D03.4+ [131]
|
175 |
|
|
"00100101011", -- "10000100" -D04.4- [132]
|
176 |
|
|
"11011100101", -- "10000101" -D05.4+ [133]
|
177 |
|
|
"11011100110", -- "10000110" -D06.4+ [134]
|
178 |
|
|
"11011000111", -- "10000111" -D07.4+ [135]
|
179 |
|
|
"00100100111", -- "10001000" -D08.4- [136]
|
180 |
|
|
"11011101001", -- "10001001" -D09.4+ [137]
|
181 |
|
|
"11011101010", -- "10001010" -D10.4+ [138]
|
182 |
|
|
"11011001011", -- "10001011" -D11.4+ [139]
|
183 |
|
|
"11011101100", -- "10001100" -D12.4+ [140]
|
184 |
|
|
"11011001101", -- "10001101" -D13.4+ [141]
|
185 |
|
|
"11011001110", -- "10001110" -D14.4+ [142]
|
186 |
|
|
"00100111010", -- "10001111" -D15.4- [143]
|
187 |
|
|
"00100110110", -- "10010000" -D16.4- [144]
|
188 |
|
|
"11011110001", -- "10010001" -D17.4+ [145]
|
189 |
|
|
"11011110010", -- "10010010" -D18.4+ [146]
|
190 |
|
|
"11011010011", -- "10010011" -D19.4+ [147]
|
191 |
|
|
"11011110100", -- "10010100" -D20.4+ [148]
|
192 |
|
|
"11011010101", -- "10010101" -D21.4+ [149]
|
193 |
|
|
"11011010110", -- "10010110" -D22.4+ [150]
|
194 |
|
|
"00100010111", -- "10010111" -D23.4- [151]
|
195 |
|
|
"00100110011", -- "10011000" -D24.4- [152]
|
196 |
|
|
"11011011001", -- "10011001" -D25.4+ [153]
|
197 |
|
|
"11011011010", -- "10011010" -D26.4+ [154]
|
198 |
|
|
"00100011011", -- "10011011" -D27.4- [155]
|
199 |
|
|
"11011011100", -- "10011100" -D28.4+ [156]
|
200 |
|
|
"00100011101", -- "10011101" -D29.4- [157]
|
201 |
|
|
"00100011110", -- "10011110" -D30.4- [158]
|
202 |
|
|
"00100110101", -- "10011111" -D31.4- [159]
|
203 |
|
|
"10101111001", -- "10100000" -D00.5+ [160]
|
204 |
|
|
"10101101110", -- "10100001" -D01.5+ [161]
|
205 |
|
|
"10101101101", -- "10100010" -D02.5+ [162]
|
206 |
|
|
"00101100011", -- "10100011" -D03.5- [163]
|
207 |
|
|
"10101101011", -- "10100100" -D04.5+ [164]
|
208 |
|
|
"00101100101", -- "10100101" -D05.5- [165]
|
209 |
|
|
"00101100110", -- "10100110" -D06.5- [166]
|
210 |
|
|
"00101000111", -- "10100111" -D07.5- [167]
|
211 |
|
|
"10101100111", -- "10101000" -D08.5+ [168]
|
212 |
|
|
"00101101001", -- "10101001" -D09.5- [169]
|
213 |
|
|
"00101101010", -- "10101010" -D10.5- [170]
|
214 |
|
|
"00101001011", -- "10101011" -D11.5- [171]
|
215 |
|
|
"00101101100", -- "10101100" -D12.5- [172]
|
216 |
|
|
"00101001101", -- "10101101" -D13.5- [173]
|
217 |
|
|
"00101001110", -- "10101110" -D14.5- [174]
|
218 |
|
|
"10101111010", -- "10101111" -D15.5+ [175]
|
219 |
|
|
"10101110110", -- "10110000" -D16.5+ [176]
|
220 |
|
|
"00101110001", -- "10110001" -D17.5- [177]
|
221 |
|
|
"00101110010", -- "10110010" -D18.5- [178]
|
222 |
|
|
"00101010011", -- "10110011" -D19.5- [179]
|
223 |
|
|
"00101110100", -- "10110100" -D20.5- [180]
|
224 |
|
|
"00101010101", -- "10110101" -D21.5- [181]
|
225 |
|
|
"00101010110", -- "10110110" -D22.5- [182]
|
226 |
|
|
"10101010111", -- "10110111" -D23.5+ [183]
|
227 |
|
|
"10101110011", -- "10111000" -D24.5+ [184]
|
228 |
|
|
"00101011001", -- "10111001" -D25.5- [185]
|
229 |
|
|
"00101011010", -- "10111010" -D26.5- [186]
|
230 |
|
|
"10101011011", -- "10111011" -D27.5+ [187]
|
231 |
|
|
"00101011100", -- "10111100" -D28.5- [188]
|
232 |
|
|
"10101011101", -- "10111101" -D29.5+ [189]
|
233 |
|
|
"10101011110", -- "10111110" -D30.5+ [190]
|
234 |
|
|
"10101110101", -- "10111111" -D31.5+ [191]
|
235 |
|
|
"10110111001", -- "11000000" -D00.6+ [192]
|
236 |
|
|
"10110101110", -- "11000001" -D01.6+ [193]
|
237 |
|
|
"10110101101", -- "11000010" -D02.6+ [194]
|
238 |
|
|
"00110100011", -- "11000011" -D03.6- [195]
|
239 |
|
|
"10110101011", -- "11000100" -D04.6+ [196]
|
240 |
|
|
"00110100101", -- "11000101" -D05.6- [197]
|
241 |
|
|
"00110100110", -- "11000110" -D06.6- [198]
|
242 |
|
|
"00110000111", -- "11000111" -D07.6- [199]
|
243 |
|
|
"10110100111", -- "11001000" -D08.6+ [200]
|
244 |
|
|
"00110101001", -- "11001001" -D09.6- [201]
|
245 |
|
|
"00110101010", -- "11001010" -D10.6- [202]
|
246 |
|
|
"00110001011", -- "11001011" -D11.6- [203]
|
247 |
|
|
"00110101100", -- "11001100" -D12.6- [204]
|
248 |
|
|
"00110001101", -- "11001101" -D13.6- [205]
|
249 |
|
|
"00110001110", -- "11001110" -D14.6- [206]
|
250 |
|
|
"10110111010", -- "11001111" -D15.6+ [207]
|
251 |
|
|
"10110110110", -- "11010000" -D16.6+ [208]
|
252 |
|
|
"00110110001", -- "11010001" -D17.6- [209]
|
253 |
|
|
"00110110010", -- "11010010" -D18.6- [210]
|
254 |
|
|
"00110010011", -- "11010011" -D19.6- [211]
|
255 |
|
|
"00110110100", -- "11010100" -D20.6- [212]
|
256 |
|
|
"00110010101", -- "11010101" -D21.6- [213]
|
257 |
|
|
"00110010110", -- "11010110" -D22.6- [214]
|
258 |
|
|
"10110010111", -- "11010111" -D23.6+ [215]
|
259 |
|
|
"10110110011", -- "11011000" -D24.6+ [216]
|
260 |
|
|
"00110011001", -- "11011001" -D25.6- [217]
|
261 |
|
|
"00110011010", -- "11011010" -D26.6- [218]
|
262 |
|
|
"10110011011", -- "11011011" -D27.6+ [219]
|
263 |
|
|
"00110011100", -- "11011100" -D28.6- [220]
|
264 |
|
|
"10110011101", -- "11011101" -D29.6+ [221]
|
265 |
|
|
"10110011110", -- "11011110" -D30.6+ [222]
|
266 |
|
|
"10110110101", -- "11011111" -D31.6+ [223]
|
267 |
|
|
"01000111001", -- "11100000" -D00.7- [224]
|
268 |
|
|
"01000101110", -- "11100001" -D01.7- [225]
|
269 |
|
|
"01000101101", -- "11100010" -D02.7- [226]
|
270 |
|
|
"10111100011", -- "11100011" -D03.7+ [227]
|
271 |
|
|
"01000101011", -- "11100100" -D04.7- [228]
|
272 |
|
|
"10111100101", -- "11100101" -D05.7+ [229]
|
273 |
|
|
"10111100110", -- "11100110" -D06.7+ [230]
|
274 |
|
|
"10111000111", -- "11100111" -D07.7+ [231]
|
275 |
|
|
"01000100111", -- "11101000" -D08.7- [232]
|
276 |
|
|
"10111101001", -- "11101001" -D09.7+ [233]
|
277 |
|
|
"10111101010", -- "11101010" -D10.7+ [234]
|
278 |
|
|
"10111001011", -- "11101011" -D11.7+ [235]
|
279 |
|
|
"10111101100", -- "11101100" -D12.7+ [236]
|
280 |
|
|
"10111001101", -- "11101101" -D13.7+ [237]
|
281 |
|
|
"10111001110", -- "11101110" -D14.7+ [238]
|
282 |
|
|
"01000111010", -- "11101111" -D15.7- [239]
|
283 |
|
|
"01000110110", -- "11110000" -D16.7- [240]
|
284 |
|
|
"11110110001", -- "11110001" -D17.7+ [241]
|
285 |
|
|
"11110110010", -- "11110010" -D18.7+ [242]
|
286 |
|
|
"10111010011", -- "11110011" -D19.7+ [243]
|
287 |
|
|
"11110110100", -- "11110100" -D20.7+ [244]
|
288 |
|
|
"10111010101", -- "11110101" -D21.7+ [245]
|
289 |
|
|
"10111010110", -- "11110110" -D22.7+ [246]
|
290 |
|
|
"01000010111", -- "11110111" -D23.7- [247]
|
291 |
|
|
"01000110011", -- "11111000" -D24.7- [248]
|
292 |
|
|
"10111011001", -- "11111001" -D25.7+ [249]
|
293 |
|
|
"10111011010", -- "11111010" -D26.7+ [250]
|
294 |
|
|
"01000011011", -- "11111011" -D27.7- [251]
|
295 |
|
|
"10111011100", -- "11111100" -D28.7+ [252]
|
296 |
|
|
"01000011101", -- "11111101" -D29.7- [253]
|
297 |
|
|
"01000011110", -- "11111110" -D30.7- [254]
|
298 |
|
|
"01000110101", -- "11111111" -D31.7- [255]
|
299 |
|
|
"11101000110", -- "00000000" +D00.0+ [256]
|
300 |
|
|
"11101010001", -- "00000001" +D01.0+ [257]
|
301 |
|
|
"11101010010", -- "00000010" +D02.0+ [258]
|
302 |
|
|
"00010100011", -- "00000011" +D03.0- [259]
|
303 |
|
|
"11101010100", -- "00000100" +D04.0+ [260]
|
304 |
|
|
"00010100101", -- "00000101" +D05.0- [261]
|
305 |
|
|
"00010100110", -- "00000110" +D06.0- [262]
|
306 |
|
|
"00010111000", -- "00000111" +D07.0- [263]
|
307 |
|
|
"11101011000", -- "00001000" +D08.0+ [264]
|
308 |
|
|
"00010101001", -- "00001001" +D09.0- [265]
|
309 |
|
|
"00010101010", -- "00001010" +D10.0- [266]
|
310 |
|
|
"00010001011", -- "00001011" +D11.0- [267]
|
311 |
|
|
"00010101100", -- "00001100" +D12.0- [268]
|
312 |
|
|
"00010001101", -- "00001101" +D13.0- [269]
|
313 |
|
|
"00010001110", -- "00001110" +D14.0- [270]
|
314 |
|
|
"11101000101", -- "00001111" +D15.0+ [271]
|
315 |
|
|
"11101001001", -- "00010000" +D16.0+ [272]
|
316 |
|
|
"00010110001", -- "00010001" +D17.0- [273]
|
317 |
|
|
"00010110010", -- "00010010" +D18.0- [274]
|
318 |
|
|
"00010010011", -- "00010011" +D19.0- [275]
|
319 |
|
|
"00010110100", -- "00010100" +D20.0- [276]
|
320 |
|
|
"00010010101", -- "00010101" +D21.0- [277]
|
321 |
|
|
"00010010110", -- "00010110" +D22.0- [278]
|
322 |
|
|
"11101101000", -- "00010111" +D23.0+ [279]
|
323 |
|
|
"11101001100", -- "00011000" +D24.0+ [280]
|
324 |
|
|
"00010011001", -- "00011001" +D25.0- [281]
|
325 |
|
|
"00010011010", -- "00011010" +D26.0- [282]
|
326 |
|
|
"11101100100", -- "00011011" +D27.0+ [283]
|
327 |
|
|
"00010011100", -- "00011100" +D28.0- [284]
|
328 |
|
|
"11101100010", -- "00011101" +D29.0+ [285]
|
329 |
|
|
"11101100001", -- "00011110" +D30.0+ [286]
|
330 |
|
|
"11101001010", -- "00011111" +D31.0+ [287]
|
331 |
|
|
"01001000110", -- "00100000" +D00.1- [288]
|
332 |
|
|
"01001010001", -- "00100001" +D01.1- [289]
|
333 |
|
|
"01001010010", -- "00100010" +D02.1- [290]
|
334 |
|
|
"11001100011", -- "00100011" +D03.1+ [291]
|
335 |
|
|
"01001010100", -- "00100100" +D04.1- [292]
|
336 |
|
|
"11001100101", -- "00100101" +D05.1+ [293]
|
337 |
|
|
"11001100110", -- "00100110" +D06.1+ [294]
|
338 |
|
|
"11001111000", -- "00100111" +D07.1+ [295]
|
339 |
|
|
"01001011000", -- "00101000" +D08.1- [296]
|
340 |
|
|
"11001101001", -- "00101001" +D09.1+ [297]
|
341 |
|
|
"11001101010", -- "00101010" +D10.1+ [298]
|
342 |
|
|
"11001001011", -- "00101011" +D11.1+ [299]
|
343 |
|
|
"11001101100", -- "00101100" +D12.1+ [300]
|
344 |
|
|
"11001001101", -- "00101101" +D13.1+ [301]
|
345 |
|
|
"11001001110", -- "00101110" +D14.1+ [302]
|
346 |
|
|
"01001000101", -- "00101111" +D15.1- [303]
|
347 |
|
|
"01001001001", -- "00110000" +D16.1- [304]
|
348 |
|
|
"11001110001", -- "00110001" +D17.1+ [305]
|
349 |
|
|
"11001110010", -- "00110010" +D18.1+ [306]
|
350 |
|
|
"11001010011", -- "00110011" +D19.1+ [307]
|
351 |
|
|
"11001110100", -- "00110100" +D20.1+ [308]
|
352 |
|
|
"11001010101", -- "00110101" +D21.1+ [309]
|
353 |
|
|
"11001010110", -- "00110110" +D22.1+ [310]
|
354 |
|
|
"01001101000", -- "00110111" +D23.1- [311]
|
355 |
|
|
"01001001100", -- "00111000" +D24.1- [312]
|
356 |
|
|
"11001011001", -- "00111001" +D25.1+ [313]
|
357 |
|
|
"11001011010", -- "00111010" +D26.1+ [314]
|
358 |
|
|
"01001100100", -- "00111011" +D27.1- [315]
|
359 |
|
|
"11001011100", -- "00111100" +D28.1+ [316]
|
360 |
|
|
"01001100010", -- "00111101" +D29.1- [317]
|
361 |
|
|
"01001100001", -- "00111110" +D30.1- [318]
|
362 |
|
|
"01001001010", -- "00111111" +D31.1- [319]
|
363 |
|
|
"01010000110", -- "01000000" +D00.2- [320]
|
364 |
|
|
"01010010001", -- "01000001" +D01.2- [321]
|
365 |
|
|
"01010010010", -- "01000010" +D02.2- [322]
|
366 |
|
|
"11010100011", -- "01000011" +D03.2+ [323]
|
367 |
|
|
"01010010100", -- "01000100" +D04.2- [324]
|
368 |
|
|
"11010100101", -- "01000101" +D05.2+ [325]
|
369 |
|
|
"11010100110", -- "01000110" +D06.2+ [326]
|
370 |
|
|
"11010111000", -- "01000111" +D07.2+ [327]
|
371 |
|
|
"01010011000", -- "01001000" +D08.2- [328]
|
372 |
|
|
"11010101001", -- "01001001" +D09.2+ [329]
|
373 |
|
|
"11010101010", -- "01001010" +D10.2+ [330]
|
374 |
|
|
"11010001011", -- "01001011" +D11.2+ [331]
|
375 |
|
|
"11010101100", -- "01001100" +D12.2+ [332]
|
376 |
|
|
"11010001101", -- "01001101" +D13.2+ [333]
|
377 |
|
|
"11010001110", -- "01001110" +D14.2+ [334]
|
378 |
|
|
"01010000101", -- "01001111" +D15.2- [335]
|
379 |
|
|
"01010001001", -- "01010000" +D16.2- [336]
|
380 |
|
|
"11010110001", -- "01010001" +D17.2+ [337]
|
381 |
|
|
"11010110010", -- "01010010" +D18.2+ [338]
|
382 |
|
|
"11010010011", -- "01010011" +D19.2+ [339]
|
383 |
|
|
"11010110100", -- "01010100" +D20.2+ [340]
|
384 |
|
|
"11010010101", -- "01010101" +D21.2+ [341]
|
385 |
|
|
"11010010110", -- "01010110" +D22.2+ [342]
|
386 |
|
|
"01010101000", -- "01010111" +D23.2- [343]
|
387 |
|
|
"01010001100", -- "01011000" +D24.2- [344]
|
388 |
|
|
"11010011001", -- "01011001" +D25.2+ [345]
|
389 |
|
|
"11010011010", -- "01011010" +D26.2+ [346]
|
390 |
|
|
"01010100100", -- "01011011" +D27.2- [347]
|
391 |
|
|
"11010011100", -- "01011100" +D28.2+ [348]
|
392 |
|
|
"01010100010", -- "01011101" +D29.2- [349]
|
393 |
|
|
"01010100001", -- "01011110" +D30.2- [350]
|
394 |
|
|
"01010001010", -- "01011111" +D31.2- [351]
|
395 |
|
|
"00011000110", -- "01100000" +D00.3- [352]
|
396 |
|
|
"00011010001", -- "01100001" +D01.3- [353]
|
397 |
|
|
"00011010010", -- "01100010" +D02.3- [354]
|
398 |
|
|
"11100100011", -- "01100011" +D03.3+ [355]
|
399 |
|
|
"00011010100", -- "01100100" +D04.3- [356]
|
400 |
|
|
"11100100101", -- "01100101" +D05.3+ [357]
|
401 |
|
|
"11100100110", -- "01100110" +D06.3+ [358]
|
402 |
|
|
"11100111000", -- "01100111" +D07.3+ [359]
|
403 |
|
|
"00011011000", -- "01101000" +D08.3- [360]
|
404 |
|
|
"11100101001", -- "01101001" +D09.3+ [361]
|
405 |
|
|
"11100101010", -- "01101010" +D10.3+ [362]
|
406 |
|
|
"11100001011", -- "01101011" +D11.3+ [363]
|
407 |
|
|
"11100101100", -- "01101100" +D12.3+ [364]
|
408 |
|
|
"11100001101", -- "01101101" +D13.3+ [365]
|
409 |
|
|
"11100001110", -- "01101110" +D14.3+ [366]
|
410 |
|
|
"00011000101", -- "01101111" +D15.3- [367]
|
411 |
|
|
"00011001001", -- "01110000" +D16.3- [368]
|
412 |
|
|
"11100110001", -- "01110001" +D17.3+ [369]
|
413 |
|
|
"11100110010", -- "01110010" +D18.3+ [370]
|
414 |
|
|
"11100010011", -- "01110011" +D19.3+ [371]
|
415 |
|
|
"11100110100", -- "01110100" +D20.3+ [372]
|
416 |
|
|
"11100010101", -- "01110101" +D21.3+ [373]
|
417 |
|
|
"11100010110", -- "01110110" +D22.3+ [374]
|
418 |
|
|
"00011101000", -- "01110111" +D23.3- [375]
|
419 |
|
|
"00011001100", -- "01111000" +D24.3- [376]
|
420 |
|
|
"11100011001", -- "01111001" +D25.3+ [377]
|
421 |
|
|
"11100011010", -- "01111010" +D26.3+ [378]
|
422 |
|
|
"00011100100", -- "01111011" +D27.3- [379]
|
423 |
|
|
"11100011100", -- "01111100" +D28.3+ [380]
|
424 |
|
|
"00011100010", -- "01111101" +D29.3- [381]
|
425 |
|
|
"00011100001", -- "01111110" +D30.3- [382]
|
426 |
|
|
"00011001010", -- "01111111" +D31.3- [383]
|
427 |
|
|
"11011000110", -- "10000000" +D00.4+ [384]
|
428 |
|
|
"11011010001", -- "10000001" +D01.4+ [385]
|
429 |
|
|
"11011010010", -- "10000010" +D02.4+ [386]
|
430 |
|
|
"00100100011", -- "10000011" +D03.4- [387]
|
431 |
|
|
"11011010100", -- "10000100" +D04.4+ [388]
|
432 |
|
|
"00100100101", -- "10000101" +D05.4- [389]
|
433 |
|
|
"00100100110", -- "10000110" +D06.4- [390]
|
434 |
|
|
"00100111000", -- "10000111" +D07.4- [391]
|
435 |
|
|
"11011011000", -- "10001000" +D08.4+ [392]
|
436 |
|
|
"00100101001", -- "10001001" +D09.4- [393]
|
437 |
|
|
"00100101010", -- "10001010" +D10.4- [394]
|
438 |
|
|
"00100001011", -- "10001011" +D11.4- [395]
|
439 |
|
|
"00100101100", -- "10001100" +D12.4- [396]
|
440 |
|
|
"00100001101", -- "10001101" +D13.4- [397]
|
441 |
|
|
"00100001110", -- "10001110" +D14.4- [398]
|
442 |
|
|
"11011000101", -- "10001111" +D15.4+ [399]
|
443 |
|
|
"11011001001", -- "10010000" +D16.4+ [400]
|
444 |
|
|
"00100110001", -- "10010001" +D17.4- [401]
|
445 |
|
|
"00100110010", -- "10010010" +D18.4- [402]
|
446 |
|
|
"00100010011", -- "10010011" +D19.4- [403]
|
447 |
|
|
"00100110100", -- "10010100" +D20.4- [404]
|
448 |
|
|
"00100010101", -- "10010101" +D21.4- [405]
|
449 |
|
|
"00100010110", -- "10010110" +D22.4- [406]
|
450 |
|
|
"11011101000", -- "10010111" +D23.4+ [407]
|
451 |
|
|
"11011001100", -- "10011000" +D24.4+ [408]
|
452 |
|
|
"00100011001", -- "10011001" +D25.4- [409]
|
453 |
|
|
"00100011010", -- "10011010" +D26.4- [410]
|
454 |
|
|
"11011100100", -- "10011011" +D27.4+ [411]
|
455 |
|
|
"00100011100", -- "10011100" +D28.4- [412]
|
456 |
|
|
"11011100010", -- "10011101" +D29.4+ [413]
|
457 |
|
|
"11011100001", -- "10011110" +D30.4+ [414]
|
458 |
|
|
"11011001010", -- "10011111" +D31.4+ [415]
|
459 |
|
|
"00101000110", -- "10100000" +D00.5- [416]
|
460 |
|
|
"00101010001", -- "10100001" +D01.5- [417]
|
461 |
|
|
"00101010010", -- "10100010" +D02.5- [418]
|
462 |
|
|
"10101100011", -- "10100011" +D03.5+ [419]
|
463 |
|
|
"00101010100", -- "10100100" +D04.5- [420]
|
464 |
|
|
"10101100101", -- "10100101" +D05.5+ [421]
|
465 |
|
|
"10101100110", -- "10100110" +D06.5+ [422]
|
466 |
|
|
"10101111000", -- "10100111" +D07.5+ [423]
|
467 |
|
|
"00101011000", -- "10101000" +D08.5- [424]
|
468 |
|
|
"10101101001", -- "10101001" +D09.5+ [425]
|
469 |
|
|
"10101101010", -- "10101010" +D10.5+ [426]
|
470 |
|
|
"10101001011", -- "10101011" +D11.5+ [427]
|
471 |
|
|
"10101101100", -- "10101100" +D12.5+ [428]
|
472 |
|
|
"10101001101", -- "10101101" +D13.5+ [429]
|
473 |
|
|
"10101001110", -- "10101110" +D14.5+ [430]
|
474 |
|
|
"00101000101", -- "10101111" +D15.5- [431]
|
475 |
|
|
"00101001001", -- "10110000" +D16.5- [432]
|
476 |
|
|
"10101110001", -- "10110001" +D17.5+ [433]
|
477 |
|
|
"10101110010", -- "10110010" +D18.5+ [434]
|
478 |
|
|
"10101010011", -- "10110011" +D19.5+ [435]
|
479 |
|
|
"10101110100", -- "10110100" +D20.5+ [436]
|
480 |
|
|
"10101010101", -- "10110101" +D21.5+ [437]
|
481 |
|
|
"10101010110", -- "10110110" +D22.5+ [438]
|
482 |
|
|
"00101101000", -- "10110111" +D23.5- [439]
|
483 |
|
|
"00101001100", -- "10111000" +D24.5- [440]
|
484 |
|
|
"10101011001", -- "10111001" +D25.5+ [441]
|
485 |
|
|
"10101011010", -- "10111010" +D26.5+ [442]
|
486 |
|
|
"00101100100", -- "10111011" +D27.5- [443]
|
487 |
|
|
"10101011100", -- "10111100" +D28.5+ [444]
|
488 |
|
|
"00101100010", -- "10111101" +D29.5- [445]
|
489 |
|
|
"00101100001", -- "10111110" +D30.5- [446]
|
490 |
|
|
"00101001010", -- "10111111" +D31.5- [447]
|
491 |
|
|
"00110000110", -- "11000000" +D00.6- [448]
|
492 |
|
|
"00110010001", -- "11000001" +D01.6- [449]
|
493 |
|
|
"00110010010", -- "11000010" +D02.6- [450]
|
494 |
|
|
"10110100011", -- "11000011" +D03.6+ [451]
|
495 |
|
|
"00110010100", -- "11000100" +D04.6- [452]
|
496 |
|
|
"10110100101", -- "11000101" +D05.6+ [453]
|
497 |
|
|
"10110100110", -- "11000110" +D06.6+ [454]
|
498 |
|
|
"10110111000", -- "11000111" +D07.6+ [455]
|
499 |
|
|
"00110011000", -- "11001000" +D08.6- [456]
|
500 |
|
|
"10110101001", -- "11001001" +D09.6+ [457]
|
501 |
|
|
"10110101010", -- "11001010" +D10.6+ [458]
|
502 |
|
|
"10110001011", -- "11001011" +D11.6+ [459]
|
503 |
|
|
"10110101100", -- "11001100" +D12.6+ [460]
|
504 |
|
|
"10110001101", -- "11001101" +D13.6+ [461]
|
505 |
|
|
"10110001110", -- "11001110" +D14.6+ [462]
|
506 |
|
|
"00110000101", -- "11001111" +D15.6- [463]
|
507 |
|
|
"00110001001", -- "11010000" +D16.6- [464]
|
508 |
|
|
"10110110001", -- "11010001" +D17.6+ [465]
|
509 |
|
|
"10110110010", -- "11010010" +D18.6+ [466]
|
510 |
|
|
"10110010011", -- "11010011" +D19.6+ [467]
|
511 |
|
|
"10110110100", -- "11010100" +D20.6+ [468]
|
512 |
|
|
"10110010101", -- "11010101" +D21.6+ [469]
|
513 |
|
|
"10110010110", -- "11010110" +D22.6+ [470]
|
514 |
|
|
"00110101000", -- "11010111" +D23.6- [471]
|
515 |
|
|
"00110001100", -- "11011000" +D24.6- [472]
|
516 |
|
|
"10110011001", -- "11011001" +D25.6+ [473]
|
517 |
|
|
"10110011010", -- "11011010" +D26.6+ [474]
|
518 |
|
|
"00110100100", -- "11011011" +D27.6- [475]
|
519 |
|
|
"10110011100", -- "11011100" +D28.6+ [476]
|
520 |
|
|
"00110100010", -- "11011101" +D29.6- [477]
|
521 |
|
|
"00110100001", -- "11011110" +D30.6- [478]
|
522 |
|
|
"00110001010", -- "11011111" +D31.6- [479]
|
523 |
|
|
"10111000110", -- "11100000" +D00.7+ [480]
|
524 |
|
|
"10111010001", -- "11100001" +D01.7+ [481]
|
525 |
|
|
"10111010010", -- "11100010" +D02.7+ [482]
|
526 |
|
|
"01000100011", -- "11100011" +D03.7- [483]
|
527 |
|
|
"10111010100", -- "11100100" +D04.7+ [484]
|
528 |
|
|
"01000100101", -- "11100101" +D05.7- [485]
|
529 |
|
|
"01000100110", -- "11100110" +D06.7- [486]
|
530 |
|
|
"01000111000", -- "11100111" +D07.7- [487]
|
531 |
|
|
"10111011000", -- "11101000" +D08.7+ [488]
|
532 |
|
|
"01000101001", -- "11101001" +D09.7- [489]
|
533 |
|
|
"01000101010", -- "11101010" +D10.7- [490]
|
534 |
|
|
"00001001011", -- "11101011" +D11.7- [491]
|
535 |
|
|
"01000101100", -- "11101100" +D12.7- [492]
|
536 |
|
|
"00001001101", -- "11101101" +D13.7- [493]
|
537 |
|
|
"00001001110", -- "11101110" +D14.7- [494]
|
538 |
|
|
"10111000101", -- "11101111" +D15.7+ [495]
|
539 |
|
|
"10111001001", -- "11110000" +D16.7+ [496]
|
540 |
|
|
"01000110001", -- "11110001" +D17.7- [497]
|
541 |
|
|
"01000110010", -- "11110010" +D18.7- [498]
|
542 |
|
|
"01000010011", -- "11110011" +D19.7- [499]
|
543 |
|
|
"01000110100", -- "11110100" +D20.7- [500]
|
544 |
|
|
"01000010101", -- "11110101" +D21.7- [501]
|
545 |
|
|
"01000010110", -- "11110110" +D22.7- [502]
|
546 |
|
|
"10111101000", -- "11110111" +D23.7+ [503]
|
547 |
|
|
"10111001100", -- "11111000" +D24.7+ [504]
|
548 |
|
|
"01000011001", -- "11111001" +D25.7- [505]
|
549 |
|
|
"01000011010", -- "11111010" +D26.7- [506]
|
550 |
|
|
"10111100100", -- "11111011" +D27.7+ [507]
|
551 |
|
|
"01000011100", -- "11111100" +D28.7- [508]
|
552 |
|
|
"10111100010", -- "11111101" +D29.7+ [509]
|
553 |
|
|
"10111100001", -- "11111110" +D30.7+ [510]
|
554 |
|
|
"10111001010", -- "11111111" +D31.7+ [511]
|
555 |
|
|
"00010111001", -- "00000000" -K00.0- [512]
|
556 |
|
|
"00010101110", -- "00000001" -K01.0- [513]
|
557 |
|
|
"00010101101", -- "00000010" -K02.0- [514]
|
558 |
|
|
"11101100011", -- "00000011" -K03.0+ [515]
|
559 |
|
|
"00010101011", -- "00000100" -K04.0- [516]
|
560 |
|
|
"11101100101", -- "00000101" -K05.0+ [517]
|
561 |
|
|
"11101100110", -- "00000110" -K06.0+ [518]
|
562 |
|
|
"11101000111", -- "00000111" -K07.0+ [519]
|
563 |
|
|
"00010100111", -- "00001000" -K08.0- [520]
|
564 |
|
|
"11101101001", -- "00001001" -K09.0+ [521]
|
565 |
|
|
"11101101010", -- "00001010" -K10.0+ [522]
|
566 |
|
|
"11101001011", -- "00001011" -K11.0+ [523]
|
567 |
|
|
"11101101100", -- "00001100" -K12.0+ [524]
|
568 |
|
|
"11101001101", -- "00001101" -K13.0+ [525]
|
569 |
|
|
"11101001110", -- "00001110" -K14.0+ [526]
|
570 |
|
|
"00010111010", -- "00001111" -K15.0- [527]
|
571 |
|
|
"00010110110", -- "00010000" -K16.0- [528]
|
572 |
|
|
"11101110001", -- "00010001" -K17.0+ [529]
|
573 |
|
|
"11101110010", -- "00010010" -K18.0+ [530]
|
574 |
|
|
"11101010011", -- "00010011" -K19.0+ [531]
|
575 |
|
|
"11101110100", -- "00010100" -K20.0+ [532]
|
576 |
|
|
"11101010101", -- "00010101" -K21.0+ [533]
|
577 |
|
|
"11101010110", -- "00010110" -K22.0+ [534]
|
578 |
|
|
"00010010111", -- "00010111" -K23.0- [535]
|
579 |
|
|
"00010110011", -- "00011000" -K24.0- [536]
|
580 |
|
|
"11101011001", -- "00011001" -K25.0+ [537]
|
581 |
|
|
"11101011010", -- "00011010" -K26.0+ [538]
|
582 |
|
|
"00010011011", -- "00011011" -K27.0- [539]
|
583 |
|
|
"00010111100", -- "00011100" -K28.0- [540]
|
584 |
|
|
"00010011101", -- "00011101" -K29.0- [541]
|
585 |
|
|
"00010011110", -- "00011110" -K30.0- [542]
|
586 |
|
|
"00010110101", -- "00011111" -K31.0- [543]
|
587 |
|
|
"11001111001", -- "00100000" -K00.1+ [544]
|
588 |
|
|
"11001101110", -- "00100001" -K01.1+ [545]
|
589 |
|
|
"11001101101", -- "00100010" -K02.1+ [546]
|
590 |
|
|
"00110100011", -- "00100011" -K03.1- [547]
|
591 |
|
|
"11001101011", -- "00100100" -K04.1+ [548]
|
592 |
|
|
"00110100101", -- "00100101" -K05.1- [549]
|
593 |
|
|
"00110100110", -- "00100110" -K06.1- [550]
|
594 |
|
|
"00110000111", -- "00100111" -K07.1- [551]
|
595 |
|
|
"11001100111", -- "00101000" -K08.1+ [552]
|
596 |
|
|
"00110101001", -- "00101001" -K09.1- [553]
|
597 |
|
|
"00110101010", -- "00101010" -K10.1- [554]
|
598 |
|
|
"00110001011", -- "00101011" -K11.1- [555]
|
599 |
|
|
"00110101100", -- "00101100" -K12.1- [556]
|
600 |
|
|
"00110001101", -- "00101101" -K13.1- [557]
|
601 |
|
|
"00110001110", -- "00101110" -K14.1- [558]
|
602 |
|
|
"11001111010", -- "00101111" -K15.1+ [559]
|
603 |
|
|
"11001110110", -- "00110000" -K16.1+ [560]
|
604 |
|
|
"00110110001", -- "00110001" -K17.1- [561]
|
605 |
|
|
"00110110010", -- "00110010" -K18.1- [562]
|
606 |
|
|
"00110010011", -- "00110011" -K19.1- [563]
|
607 |
|
|
"00110110100", -- "00110100" -K20.1- [564]
|
608 |
|
|
"00110010101", -- "00110101" -K21.1- [565]
|
609 |
|
|
"00110010110", -- "00110110" -K22.1- [566]
|
610 |
|
|
"11001010111", -- "00110111" -K23.1+ [567]
|
611 |
|
|
"11001110011", -- "00111000" -K24.1+ [568]
|
612 |
|
|
"00110011001", -- "00111001" -K25.1- [569]
|
613 |
|
|
"00110011010", -- "00111010" -K26.1- [570]
|
614 |
|
|
"11001011011", -- "00111011" -K27.1+ [571]
|
615 |
|
|
"11001111100", -- "00111100" -K28.1+ [572]
|
616 |
|
|
"11001011101", -- "00111101" -K29.1+ [573]
|
617 |
|
|
"11001011110", -- "00111110" -K30.1+ [574]
|
618 |
|
|
"11001110101", -- "00111111" -K31.1+ [575]
|
619 |
|
|
"11010111001", -- "01000000" -K00.2+ [576]
|
620 |
|
|
"11010101110", -- "01000001" -K01.2+ [577]
|
621 |
|
|
"11010101101", -- "01000010" -K02.2+ [578]
|
622 |
|
|
"00101100011", -- "01000011" -K03.2- [579]
|
623 |
|
|
"11010101011", -- "01000100" -K04.2+ [580]
|
624 |
|
|
"00101100101", -- "01000101" -K05.2- [581]
|
625 |
|
|
"00101100110", -- "01000110" -K06.2- [582]
|
626 |
|
|
"00101000111", -- "01000111" -K07.2- [583]
|
627 |
|
|
"11010100111", -- "01001000" -K08.2+ [584]
|
628 |
|
|
"00101101001", -- "01001001" -K09.2- [585]
|
629 |
|
|
"00101101010", -- "01001010" -K10.2- [586]
|
630 |
|
|
"00101001011", -- "01001011" -K11.2- [587]
|
631 |
|
|
"00101101100", -- "01001100" -K12.2- [588]
|
632 |
|
|
"00101001101", -- "01001101" -K13.2- [589]
|
633 |
|
|
"00101001110", -- "01001110" -K14.2- [590]
|
634 |
|
|
"11010111010", -- "01001111" -K15.2+ [591]
|
635 |
|
|
"11010110110", -- "01010000" -K16.2+ [592]
|
636 |
|
|
"00101110001", -- "01010001" -K17.2- [593]
|
637 |
|
|
"00101110010", -- "01010010" -K18.2- [594]
|
638 |
|
|
"00101010011", -- "01010011" -K19.2- [595]
|
639 |
|
|
"00101110100", -- "01010100" -K20.2- [596]
|
640 |
|
|
"00101010101", -- "01010101" -K21.2- [597]
|
641 |
|
|
"00101010110", -- "01010110" -K22.2- [598]
|
642 |
|
|
"11010010111", -- "01010111" -K23.2+ [599]
|
643 |
|
|
"11010110011", -- "01011000" -K24.2+ [600]
|
644 |
|
|
"00101011001", -- "01011001" -K25.2- [601]
|
645 |
|
|
"00101011010", -- "01011010" -K26.2- [602]
|
646 |
|
|
"11010011011", -- "01011011" -K27.2+ [603]
|
647 |
|
|
"11010111100", -- "01011100" -K28.2+ [604]
|
648 |
|
|
"11010011101", -- "01011101" -K29.2+ [605]
|
649 |
|
|
"11010011110", -- "01011110" -K30.2+ [606]
|
650 |
|
|
"11010110101", -- "01011111" -K31.2+ [607]
|
651 |
|
|
"11100111001", -- "01100000" -K00.3+ [608]
|
652 |
|
|
"11100101110", -- "01100001" -K01.3+ [609]
|
653 |
|
|
"11100101101", -- "01100010" -K02.3+ [610]
|
654 |
|
|
"00011100011", -- "01100011" -K03.3- [611]
|
655 |
|
|
"11100101011", -- "01100100" -K04.3+ [612]
|
656 |
|
|
"00011100101", -- "01100101" -K05.3- [613]
|
657 |
|
|
"00011100110", -- "01100110" -K06.3- [614]
|
658 |
|
|
"00011000111", -- "01100111" -K07.3- [615]
|
659 |
|
|
"11100100111", -- "01101000" -K08.3+ [616]
|
660 |
|
|
"00011101001", -- "01101001" -K09.3- [617]
|
661 |
|
|
"00011101010", -- "01101010" -K10.3- [618]
|
662 |
|
|
"00011001011", -- "01101011" -K11.3- [619]
|
663 |
|
|
"00011101100", -- "01101100" -K12.3- [620]
|
664 |
|
|
"00011001101", -- "01101101" -K13.3- [621]
|
665 |
|
|
"00011001110", -- "01101110" -K14.3- [622]
|
666 |
|
|
"11100111010", -- "01101111" -K15.3+ [623]
|
667 |
|
|
"11100110110", -- "01110000" -K16.3+ [624]
|
668 |
|
|
"00011110001", -- "01110001" -K17.3- [625]
|
669 |
|
|
"00011110010", -- "01110010" -K18.3- [626]
|
670 |
|
|
"00011010011", -- "01110011" -K19.3- [627]
|
671 |
|
|
"00011110100", -- "01110100" -K20.3- [628]
|
672 |
|
|
"00011010101", -- "01110101" -K21.3- [629]
|
673 |
|
|
"00011010110", -- "01110110" -K22.3- [630]
|
674 |
|
|
"11100010111", -- "01110111" -K23.3+ [631]
|
675 |
|
|
"11100110011", -- "01111000" -K24.3+ [632]
|
676 |
|
|
"00011011001", -- "01111001" -K25.3- [633]
|
677 |
|
|
"00011011010", -- "01111010" -K26.3- [634]
|
678 |
|
|
"11100011011", -- "01111011" -K27.3+ [635]
|
679 |
|
|
"11100111100", -- "01111100" -K28.3+ [636]
|
680 |
|
|
"11100011101", -- "01111101" -K29.3+ [637]
|
681 |
|
|
"11100011110", -- "01111110" -K30.3+ [638]
|
682 |
|
|
"11100110101", -- "01111111" -K31.3+ [639]
|
683 |
|
|
"00100111001", -- "10000000" -K00.4- [640]
|
684 |
|
|
"00100101110", -- "10000001" -K01.4- [641]
|
685 |
|
|
"00100101101", -- "10000010" -K02.4- [642]
|
686 |
|
|
"11011100011", -- "10000011" -K03.4+ [643]
|
687 |
|
|
"00100101011", -- "10000100" -K04.4- [644]
|
688 |
|
|
"11011100101", -- "10000101" -K05.4+ [645]
|
689 |
|
|
"11011100110", -- "10000110" -K06.4+ [646]
|
690 |
|
|
"11011000111", -- "10000111" -K07.4+ [647]
|
691 |
|
|
"00100100111", -- "10001000" -K08.4- [648]
|
692 |
|
|
"11011101001", -- "10001001" -K09.4+ [649]
|
693 |
|
|
"11011101010", -- "10001010" -K10.4+ [650]
|
694 |
|
|
"11011001011", -- "10001011" -K11.4+ [651]
|
695 |
|
|
"11011101100", -- "10001100" -K12.4+ [652]
|
696 |
|
|
"11011001101", -- "10001101" -K13.4+ [653]
|
697 |
|
|
"11011001110", -- "10001110" -K14.4+ [654]
|
698 |
|
|
"00100111010", -- "10001111" -K15.4- [655]
|
699 |
|
|
"00100110110", -- "10010000" -K16.4- [656]
|
700 |
|
|
"11011110001", -- "10010001" -K17.4+ [657]
|
701 |
|
|
"11011110010", -- "10010010" -K18.4+ [658]
|
702 |
|
|
"11011010011", -- "10010011" -K19.4+ [659]
|
703 |
|
|
"11011110100", -- "10010100" -K20.4+ [660]
|
704 |
|
|
"11011010101", -- "10010101" -K21.4+ [661]
|
705 |
|
|
"11011010110", -- "10010110" -K22.4+ [662]
|
706 |
|
|
"00100010111", -- "10010111" -K23.4- [663]
|
707 |
|
|
"00100110011", -- "10011000" -K24.4- [664]
|
708 |
|
|
"11011011001", -- "10011001" -K25.4+ [665]
|
709 |
|
|
"11011011010", -- "10011010" -K26.4+ [666]
|
710 |
|
|
"00100011011", -- "10011011" -K27.4- [667]
|
711 |
|
|
"00100111100", -- "10011100" -K28.4- [668]
|
712 |
|
|
"00100011101", -- "10011101" -K29.4- [669]
|
713 |
|
|
"00100011110", -- "10011110" -K30.4- [670]
|
714 |
|
|
"00100110101", -- "10011111" -K31.4- [671]
|
715 |
|
|
"10101111001", -- "10100000" -K00.5+ [672]
|
716 |
|
|
"10101101110", -- "10100001" -K01.5+ [673]
|
717 |
|
|
"10101101101", -- "10100010" -K02.5+ [674]
|
718 |
|
|
"01010100011", -- "10100011" -K03.5- [675]
|
719 |
|
|
"10101101011", -- "10100100" -K04.5+ [676]
|
720 |
|
|
"01010100101", -- "10100101" -K05.5- [677]
|
721 |
|
|
"01010100110", -- "10100110" -K06.5- [678]
|
722 |
|
|
"01010000111", -- "10100111" -K07.5- [679]
|
723 |
|
|
"10101100111", -- "10101000" -K08.5+ [680]
|
724 |
|
|
"01010101001", -- "10101001" -K09.5- [681]
|
725 |
|
|
"01010101010", -- "10101010" -K10.5- [682]
|
726 |
|
|
"01010001011", -- "10101011" -K11.5- [683]
|
727 |
|
|
"01010101100", -- "10101100" -K12.5- [684]
|
728 |
|
|
"01010001101", -- "10101101" -K13.5- [685]
|
729 |
|
|
"01010001110", -- "10101110" -K14.5- [686]
|
730 |
|
|
"10101111010", -- "10101111" -K15.5+ [687]
|
731 |
|
|
"10101110110", -- "10110000" -K16.5+ [688]
|
732 |
|
|
"01010110001", -- "10110001" -K17.5- [689]
|
733 |
|
|
"01010110010", -- "10110010" -K18.5- [690]
|
734 |
|
|
"01010010011", -- "10110011" -K19.5- [691]
|
735 |
|
|
"01010110100", -- "10110100" -K20.5- [692]
|
736 |
|
|
"01010010101", -- "10110101" -K21.5- [693]
|
737 |
|
|
"01010010110", -- "10110110" -K22.5- [694]
|
738 |
|
|
"10101010111", -- "10110111" -K23.5+ [695]
|
739 |
|
|
"10101110011", -- "10111000" -K24.5+ [696]
|
740 |
|
|
"01010011001", -- "10111001" -K25.5- [697]
|
741 |
|
|
"01010011010", -- "10111010" -K26.5- [698]
|
742 |
|
|
"10101011011", -- "10111011" -K27.5+ [699]
|
743 |
|
|
"10101111100", -- "10111100" -K28.5+ [700]
|
744 |
|
|
"10101011101", -- "10111101" -K29.5+ [701]
|
745 |
|
|
"10101011110", -- "10111110" -K30.5+ [702]
|
746 |
|
|
"10101110101", -- "10111111" -K31.5+ [703]
|
747 |
|
|
"10110111001", -- "11000000" -K00.6+ [704]
|
748 |
|
|
"10110101110", -- "11000001" -K01.6+ [705]
|
749 |
|
|
"10110101101", -- "11000010" -K02.6+ [706]
|
750 |
|
|
"01001100011", -- "11000011" -K03.6- [707]
|
751 |
|
|
"10110101011", -- "11000100" -K04.6+ [708]
|
752 |
|
|
"01001100101", -- "11000101" -K05.6- [709]
|
753 |
|
|
"01001100110", -- "11000110" -K06.6- [710]
|
754 |
|
|
"01001000111", -- "11000111" -K07.6- [711]
|
755 |
|
|
"10110100111", -- "11001000" -K08.6+ [712]
|
756 |
|
|
"01001101001", -- "11001001" -K09.6- [713]
|
757 |
|
|
"01001101010", -- "11001010" -K10.6- [714]
|
758 |
|
|
"01001001011", -- "11001011" -K11.6- [715]
|
759 |
|
|
"01001101100", -- "11001100" -K12.6- [716]
|
760 |
|
|
"01001001101", -- "11001101" -K13.6- [717]
|
761 |
|
|
"01001001110", -- "11001110" -K14.6- [718]
|
762 |
|
|
"10110111010", -- "11001111" -K15.6+ [719]
|
763 |
|
|
"10110110110", -- "11010000" -K16.6+ [720]
|
764 |
|
|
"01001110001", -- "11010001" -K17.6- [721]
|
765 |
|
|
"01001110010", -- "11010010" -K18.6- [722]
|
766 |
|
|
"01001010011", -- "11010011" -K19.6- [723]
|
767 |
|
|
"01001110100", -- "11010100" -K20.6- [724]
|
768 |
|
|
"01001010101", -- "11010101" -K21.6- [725]
|
769 |
|
|
"01001010110", -- "11010110" -K22.6- [726]
|
770 |
|
|
"10110010111", -- "11010111" -K23.6+ [727]
|
771 |
|
|
"10110110011", -- "11011000" -K24.6+ [728]
|
772 |
|
|
"01001011001", -- "11011001" -K25.6- [729]
|
773 |
|
|
"01001011010", -- "11011010" -K26.6- [730]
|
774 |
|
|
"10110011011", -- "11011011" -K27.6+ [731]
|
775 |
|
|
"10110111100", -- "11011100" -K28.6+ [732]
|
776 |
|
|
"10110011101", -- "11011101" -K29.6+ [733]
|
777 |
|
|
"10110011110", -- "11011110" -K30.6+ [734]
|
778 |
|
|
"10110110101", -- "11011111" -K31.6+ [735]
|
779 |
|
|
"00001111001", -- "11100000" -K00.7- [736]
|
780 |
|
|
"00001101110", -- "11100001" -K01.7- [737]
|
781 |
|
|
"00001101101", -- "11100010" -K02.7- [738]
|
782 |
|
|
"11110100011", -- "11100011" -K03.7+ [739]
|
783 |
|
|
"00001101011", -- "11100100" -K04.7- [740]
|
784 |
|
|
"11110100101", -- "11100101" -K05.7+ [741]
|
785 |
|
|
"11110100110", -- "11100110" -K06.7+ [742]
|
786 |
|
|
"11110000111", -- "11100111" -K07.7+ [743]
|
787 |
|
|
"00001100111", -- "11101000" -K08.7- [744]
|
788 |
|
|
"11110101001", -- "11101001" -K09.7+ [745]
|
789 |
|
|
"11110101010", -- "11101010" -K10.7+ [746]
|
790 |
|
|
"11110001011", -- "11101011" -K11.7+ [747]
|
791 |
|
|
"11110101100", -- "11101100" -K12.7+ [748]
|
792 |
|
|
"11110001101", -- "11101101" -K13.7+ [749]
|
793 |
|
|
"11110001110", -- "11101110" -K14.7+ [750]
|
794 |
|
|
"00001111010", -- "11101111" -K15.7- [751]
|
795 |
|
|
"00001110110", -- "11110000" -K16.7- [752]
|
796 |
|
|
"11110110001", -- "11110001" -K17.7+ [753]
|
797 |
|
|
"11110110010", -- "11110010" -K18.7+ [754]
|
798 |
|
|
"11110010011", -- "11110011" -K19.7+ [755]
|
799 |
|
|
"11110110100", -- "11110100" -K20.7+ [756]
|
800 |
|
|
"11110010101", -- "11110101" -K21.7+ [757]
|
801 |
|
|
"11110010110", -- "11110110" -K22.7+ [758]
|
802 |
|
|
"00001010111", -- "11110111" -K23.7- [759]
|
803 |
|
|
"00001110011", -- "11111000" -K24.7- [760]
|
804 |
|
|
"11110011001", -- "11111001" -K25.7+ [761]
|
805 |
|
|
"11110011010", -- "11111010" -K26.7+ [762]
|
806 |
|
|
"00001011011", -- "11111011" -K27.7- [763]
|
807 |
|
|
"00001111100", -- "11111100" -K28.7- [764]
|
808 |
|
|
"00001011101", -- "11111101" -K29.7- [765]
|
809 |
|
|
"00001011110", -- "11111110" -K30.7- [766]
|
810 |
|
|
"00001110101", -- "11111111" -K31.7- [767]
|
811 |
|
|
"11101000110", -- "00000000" +K00.0+ [768]
|
812 |
|
|
"11101010001", -- "00000001" +K01.0+ [769]
|
813 |
|
|
"11101010010", -- "00000010" +K02.0+ [770]
|
814 |
|
|
"00010100011", -- "00000011" +K03.0- [771]
|
815 |
|
|
"11101010100", -- "00000100" +K04.0+ [772]
|
816 |
|
|
"00010100101", -- "00000101" +K05.0- [773]
|
817 |
|
|
"00010100110", -- "00000110" +K06.0- [774]
|
818 |
|
|
"00010111000", -- "00000111" +K07.0- [775]
|
819 |
|
|
"11101011000", -- "00001000" +K08.0+ [776]
|
820 |
|
|
"00010101001", -- "00001001" +K09.0- [777]
|
821 |
|
|
"00010101010", -- "00001010" +K10.0- [778]
|
822 |
|
|
"00010001011", -- "00001011" +K11.0- [779]
|
823 |
|
|
"00010101100", -- "00001100" +K12.0- [780]
|
824 |
|
|
"00010001101", -- "00001101" +K13.0- [781]
|
825 |
|
|
"00010001110", -- "00001110" +K14.0- [782]
|
826 |
|
|
"11101000101", -- "00001111" +K15.0+ [783]
|
827 |
|
|
"11101001001", -- "00010000" +K16.0+ [784]
|
828 |
|
|
"00010110001", -- "00010001" +K17.0- [785]
|
829 |
|
|
"00010110010", -- "00010010" +K18.0- [786]
|
830 |
|
|
"00010010011", -- "00010011" +K19.0- [787]
|
831 |
|
|
"00010110100", -- "00010100" +K20.0- [788]
|
832 |
|
|
"00010010101", -- "00010101" +K21.0- [789]
|
833 |
|
|
"00010010110", -- "00010110" +K22.0- [790]
|
834 |
|
|
"11101101000", -- "00010111" +K23.0+ [791]
|
835 |
|
|
"11101001100", -- "00011000" +K24.0+ [792]
|
836 |
|
|
"00010011001", -- "00011001" +K25.0- [793]
|
837 |
|
|
"00010011010", -- "00011010" +K26.0- [794]
|
838 |
|
|
"11101100100", -- "00011011" +K27.0+ [795]
|
839 |
|
|
"11101000011", -- "00011100" +K28.0+ [796]
|
840 |
|
|
"11101100010", -- "00011101" +K29.0+ [797]
|
841 |
|
|
"11101100001", -- "00011110" +K30.0+ [798]
|
842 |
|
|
"11101001010", -- "00011111" +K31.0+ [799]
|
843 |
|
|
"00110000110", -- "00100000" +K00.1- [800]
|
844 |
|
|
"00110010001", -- "00100001" +K01.1- [801]
|
845 |
|
|
"00110010010", -- "00100010" +K02.1- [802]
|
846 |
|
|
"11001100011", -- "00100011" +K03.1+ [803]
|
847 |
|
|
"00110010100", -- "00100100" +K04.1- [804]
|
848 |
|
|
"11001100101", -- "00100101" +K05.1+ [805]
|
849 |
|
|
"11001100110", -- "00100110" +K06.1+ [806]
|
850 |
|
|
"11001111000", -- "00100111" +K07.1+ [807]
|
851 |
|
|
"00110011000", -- "00101000" +K08.1- [808]
|
852 |
|
|
"11001101001", -- "00101001" +K09.1+ [809]
|
853 |
|
|
"11001101010", -- "00101010" +K10.1+ [810]
|
854 |
|
|
"11001001011", -- "00101011" +K11.1+ [811]
|
855 |
|
|
"11001101100", -- "00101100" +K12.1+ [812]
|
856 |
|
|
"11001001101", -- "00101101" +K13.1+ [813]
|
857 |
|
|
"11001001110", -- "00101110" +K14.1+ [814]
|
858 |
|
|
"00110000101", -- "00101111" +K15.1- [815]
|
859 |
|
|
"00110001001", -- "00110000" +K16.1- [816]
|
860 |
|
|
"11001110001", -- "00110001" +K17.1+ [817]
|
861 |
|
|
"11001110010", -- "00110010" +K18.1+ [818]
|
862 |
|
|
"11001010011", -- "00110011" +K19.1+ [819]
|
863 |
|
|
"11001110100", -- "00110100" +K20.1+ [820]
|
864 |
|
|
"11001010101", -- "00110101" +K21.1+ [821]
|
865 |
|
|
"11001010110", -- "00110110" +K22.1+ [822]
|
866 |
|
|
"00110101000", -- "00110111" +K23.1- [823]
|
867 |
|
|
"00110001100", -- "00111000" +K24.1- [824]
|
868 |
|
|
"11001011001", -- "00111001" +K25.1+ [825]
|
869 |
|
|
"11001011010", -- "00111010" +K26.1+ [826]
|
870 |
|
|
"00110100100", -- "00111011" +K27.1- [827]
|
871 |
|
|
"00110000011", -- "00111100" +K28.1- [828]
|
872 |
|
|
"00110100010", -- "00111101" +K29.1- [829]
|
873 |
|
|
"00110100001", -- "00111110" +K30.1- [830]
|
874 |
|
|
"00110001010", -- "00111111" +K31.1- [831]
|
875 |
|
|
"00101000110", -- "01000000" +K00.2- [832]
|
876 |
|
|
"00101010001", -- "01000001" +K01.2- [833]
|
877 |
|
|
"00101010010", -- "01000010" +K02.2- [834]
|
878 |
|
|
"11010100011", -- "01000011" +K03.2+ [835]
|
879 |
|
|
"00101010100", -- "01000100" +K04.2- [836]
|
880 |
|
|
"11010100101", -- "01000101" +K05.2+ [837]
|
881 |
|
|
"11010100110", -- "01000110" +K06.2+ [838]
|
882 |
|
|
"11010111000", -- "01000111" +K07.2+ [839]
|
883 |
|
|
"00101011000", -- "01001000" +K08.2- [840]
|
884 |
|
|
"11010101001", -- "01001001" +K09.2+ [841]
|
885 |
|
|
"11010101010", -- "01001010" +K10.2+ [842]
|
886 |
|
|
"11010001011", -- "01001011" +K11.2+ [843]
|
887 |
|
|
"11010101100", -- "01001100" +K12.2+ [844]
|
888 |
|
|
"11010001101", -- "01001101" +K13.2+ [845]
|
889 |
|
|
"11010001110", -- "01001110" +K14.2+ [846]
|
890 |
|
|
"00101000101", -- "01001111" +K15.2- [847]
|
891 |
|
|
"00101001001", -- "01010000" +K16.2- [848]
|
892 |
|
|
"11010110001", -- "01010001" +K17.2+ [849]
|
893 |
|
|
"11010110010", -- "01010010" +K18.2+ [850]
|
894 |
|
|
"11010010011", -- "01010011" +K19.2+ [851]
|
895 |
|
|
"11010110100", -- "01010100" +K20.2+ [852]
|
896 |
|
|
"11010010101", -- "01010101" +K21.2+ [853]
|
897 |
|
|
"11010010110", -- "01010110" +K22.2+ [854]
|
898 |
|
|
"00101101000", -- "01010111" +K23.2- [855]
|
899 |
|
|
"00101001100", -- "01011000" +K24.2- [856]
|
900 |
|
|
"11010011001", -- "01011001" +K25.2+ [857]
|
901 |
|
|
"11010011010", -- "01011010" +K26.2+ [858]
|
902 |
|
|
"00101100100", -- "01011011" +K27.2- [859]
|
903 |
|
|
"00101000011", -- "01011100" +K28.2- [860]
|
904 |
|
|
"00101100010", -- "01011101" +K29.2- [861]
|
905 |
|
|
"00101100001", -- "01011110" +K30.2- [862]
|
906 |
|
|
"00101001010", -- "01011111" +K31.2- [863]
|
907 |
|
|
"00011000110", -- "01100000" +K00.3- [864]
|
908 |
|
|
"00011010001", -- "01100001" +K01.3- [865]
|
909 |
|
|
"00011010010", -- "01100010" +K02.3- [866]
|
910 |
|
|
"11100100011", -- "01100011" +K03.3+ [867]
|
911 |
|
|
"00011010100", -- "01100100" +K04.3- [868]
|
912 |
|
|
"11100100101", -- "01100101" +K05.3+ [869]
|
913 |
|
|
"11100100110", -- "01100110" +K06.3+ [870]
|
914 |
|
|
"11100111000", -- "01100111" +K07.3+ [871]
|
915 |
|
|
"00011011000", -- "01101000" +K08.3- [872]
|
916 |
|
|
"11100101001", -- "01101001" +K09.3+ [873]
|
917 |
|
|
"11100101010", -- "01101010" +K10.3+ [874]
|
918 |
|
|
"11100001011", -- "01101011" +K11.3+ [875]
|
919 |
|
|
"11100101100", -- "01101100" +K12.3+ [876]
|
920 |
|
|
"11100001101", -- "01101101" +K13.3+ [877]
|
921 |
|
|
"11100001110", -- "01101110" +K14.3+ [878]
|
922 |
|
|
"00011000101", -- "01101111" +K15.3- [879]
|
923 |
|
|
"00011001001", -- "01110000" +K16.3- [880]
|
924 |
|
|
"11100110001", -- "01110001" +K17.3+ [881]
|
925 |
|
|
"11100110010", -- "01110010" +K18.3+ [882]
|
926 |
|
|
"11100010011", -- "01110011" +K19.3+ [883]
|
927 |
|
|
"11100110100", -- "01110100" +K20.3+ [884]
|
928 |
|
|
"11100010101", -- "01110101" +K21.3+ [885]
|
929 |
|
|
"11100010110", -- "01110110" +K22.3+ [886]
|
930 |
|
|
"00011101000", -- "01110111" +K23.3- [887]
|
931 |
|
|
"00011001100", -- "01111000" +K24.3- [888]
|
932 |
|
|
"11100011001", -- "01111001" +K25.3+ [889]
|
933 |
|
|
"11100011010", -- "01111010" +K26.3+ [890]
|
934 |
|
|
"00011100100", -- "01111011" +K27.3- [891]
|
935 |
|
|
"00011000011", -- "01111100" +K28.3- [892]
|
936 |
|
|
"00011100010", -- "01111101" +K29.3- [893]
|
937 |
|
|
"00011100001", -- "01111110" +K30.3- [894]
|
938 |
|
|
"00011001010", -- "01111111" +K31.3- [895]
|
939 |
|
|
"11011000110", -- "10000000" +K00.4+ [896]
|
940 |
|
|
"11011010001", -- "10000001" +K01.4+ [897]
|
941 |
|
|
"11011010010", -- "10000010" +K02.4+ [898]
|
942 |
|
|
"00100100011", -- "10000011" +K03.4- [899]
|
943 |
|
|
"11011010100", -- "10000100" +K04.4+ [900]
|
944 |
|
|
"00100100101", -- "10000101" +K05.4- [901]
|
945 |
|
|
"00100100110", -- "10000110" +K06.4- [902]
|
946 |
|
|
"00100111000", -- "10000111" +K07.4- [903]
|
947 |
|
|
"11011011000", -- "10001000" +K08.4+ [904]
|
948 |
|
|
"00100101001", -- "10001001" +K09.4- [905]
|
949 |
|
|
"00100101010", -- "10001010" +K10.4- [906]
|
950 |
|
|
"00100001011", -- "10001011" +K11.4- [907]
|
951 |
|
|
"00100101100", -- "10001100" +K12.4- [908]
|
952 |
|
|
"00100001101", -- "10001101" +K13.4- [909]
|
953 |
|
|
"00100001110", -- "10001110" +K14.4- [910]
|
954 |
|
|
"11011000101", -- "10001111" +K15.4+ [911]
|
955 |
|
|
"11011001001", -- "10010000" +K16.4+ [912]
|
956 |
|
|
"00100110001", -- "10010001" +K17.4- [913]
|
957 |
|
|
"00100110010", -- "10010010" +K18.4- [914]
|
958 |
|
|
"00100010011", -- "10010011" +K19.4- [915]
|
959 |
|
|
"00100110100", -- "10010100" +K20.4- [916]
|
960 |
|
|
"00100010101", -- "10010101" +K21.4- [917]
|
961 |
|
|
"00100010110", -- "10010110" +K22.4- [918]
|
962 |
|
|
"11011101000", -- "10010111" +K23.4+ [919]
|
963 |
|
|
"11011001100", -- "10011000" +K24.4+ [920]
|
964 |
|
|
"00100011001", -- "10011001" +K25.4- [921]
|
965 |
|
|
"00100011010", -- "10011010" +K26.4- [922]
|
966 |
|
|
"11011100100", -- "10011011" +K27.4+ [923]
|
967 |
|
|
"11011000011", -- "10011100" +K28.4+ [924]
|
968 |
|
|
"11011100010", -- "10011101" +K29.4+ [925]
|
969 |
|
|
"11011100001", -- "10011110" +K30.4+ [926]
|
970 |
|
|
"11011001010", -- "10011111" +K31.4+ [927]
|
971 |
|
|
"01010000110", -- "10100000" +K00.5- [928]
|
972 |
|
|
"01010010001", -- "10100001" +K01.5- [929]
|
973 |
|
|
"01010010010", -- "10100010" +K02.5- [930]
|
974 |
|
|
"10101100011", -- "10100011" +K03.5+ [931]
|
975 |
|
|
"01010010100", -- "10100100" +K04.5- [932]
|
976 |
|
|
"10101100101", -- "10100101" +K05.5+ [933]
|
977 |
|
|
"10101100110", -- "10100110" +K06.5+ [934]
|
978 |
|
|
"10101111000", -- "10100111" +K07.5+ [935]
|
979 |
|
|
"01010011000", -- "10101000" +K08.5- [936]
|
980 |
|
|
"10101101001", -- "10101001" +K09.5+ [937]
|
981 |
|
|
"10101101010", -- "10101010" +K10.5+ [938]
|
982 |
|
|
"10101001011", -- "10101011" +K11.5+ [939]
|
983 |
|
|
"10101101100", -- "10101100" +K12.5+ [940]
|
984 |
|
|
"10101001101", -- "10101101" +K13.5+ [941]
|
985 |
|
|
"10101001110", -- "10101110" +K14.5+ [942]
|
986 |
|
|
"01010000101", -- "10101111" +K15.5- [943]
|
987 |
|
|
"01010001001", -- "10110000" +K16.5- [944]
|
988 |
|
|
"10101110001", -- "10110001" +K17.5+ [945]
|
989 |
|
|
"10101110010", -- "10110010" +K18.5+ [946]
|
990 |
|
|
"10101010011", -- "10110011" +K19.5+ [947]
|
991 |
|
|
"10101110100", -- "10110100" +K20.5+ [948]
|
992 |
|
|
"10101010101", -- "10110101" +K21.5+ [949]
|
993 |
|
|
"10101010110", -- "10110110" +K22.5+ [950]
|
994 |
|
|
"01010101000", -- "10110111" +K23.5- [951]
|
995 |
|
|
"01010001100", -- "10111000" +K24.5- [952]
|
996 |
|
|
"10101011001", -- "10111001" +K25.5+ [953]
|
997 |
|
|
"10101011010", -- "10111010" +K26.5+ [954]
|
998 |
|
|
"01010100100", -- "10111011" +K27.5- [955]
|
999 |
|
|
"01010000011", -- "10111100" +K28.5- [956]
|
1000 |
|
|
"01010100010", -- "10111101" +K29.5- [957]
|
1001 |
|
|
"01010100001", -- "10111110" +K30.5- [958]
|
1002 |
|
|
"01010001010", -- "10111111" +K31.5- [959]
|
1003 |
|
|
"01001000110", -- "11000000" +K00.6- [960]
|
1004 |
|
|
"01001010001", -- "11000001" +K01.6- [961]
|
1005 |
|
|
"01001010010", -- "11000010" +K02.6- [962]
|
1006 |
|
|
"10110100011", -- "11000011" +K03.6+ [963]
|
1007 |
|
|
"01001010100", -- "11000100" +K04.6- [964]
|
1008 |
|
|
"10110100101", -- "11000101" +K05.6+ [965]
|
1009 |
|
|
"10110100110", -- "11000110" +K06.6+ [966]
|
1010 |
|
|
"10110111000", -- "11000111" +K07.6+ [967]
|
1011 |
|
|
"01001011000", -- "11001000" +K08.6- [968]
|
1012 |
|
|
"10110101001", -- "11001001" +K09.6+ [969]
|
1013 |
|
|
"10110101010", -- "11001010" +K10.6+ [970]
|
1014 |
|
|
"10110001011", -- "11001011" +K11.6+ [971]
|
1015 |
|
|
"10110101100", -- "11001100" +K12.6+ [972]
|
1016 |
|
|
"10110001101", -- "11001101" +K13.6+ [973]
|
1017 |
|
|
"10110001110", -- "11001110" +K14.6+ [974]
|
1018 |
|
|
"01001000101", -- "11001111" +K15.6- [975]
|
1019 |
|
|
"01001001001", -- "11010000" +K16.6- [976]
|
1020 |
|
|
"10110110001", -- "11010001" +K17.6+ [977]
|
1021 |
|
|
"10110110010", -- "11010010" +K18.6+ [978]
|
1022 |
|
|
"10110010011", -- "11010011" +K19.6+ [979]
|
1023 |
|
|
"10110110100", -- "11010100" +K20.6+ [980]
|
1024 |
|
|
"10110010101", -- "11010101" +K21.6+ [981]
|
1025 |
|
|
"10110010110", -- "11010110" +K22.6+ [982]
|
1026 |
|
|
"01001101000", -- "11010111" +K23.6- [983]
|
1027 |
|
|
"01001001100", -- "11011000" +K24.6- [984]
|
1028 |
|
|
"10110011001", -- "11011001" +K25.6+ [985]
|
1029 |
|
|
"10110011010", -- "11011010" +K26.6+ [986]
|
1030 |
|
|
"01001100100", -- "11011011" +K27.6- [987]
|
1031 |
|
|
"01001000011", -- "11011100" +K28.6- [988]
|
1032 |
|
|
"01001100010", -- "11011101" +K29.6- [989]
|
1033 |
|
|
"01001100001", -- "11011110" +K30.6- [990]
|
1034 |
|
|
"01001001010", -- "11011111" +K31.6- [991]
|
1035 |
|
|
"11110000110", -- "11100000" +K00.7+ [992]
|
1036 |
|
|
"11110010001", -- "11100001" +K01.7+ [993]
|
1037 |
|
|
"11110010010", -- "11100010" +K02.7+ [994]
|
1038 |
|
|
"00001100011", -- "11100011" +K03.7- [995]
|
1039 |
|
|
"11110010100", -- "11100100" +K04.7+ [996]
|
1040 |
|
|
"00001100101", -- "11100101" +K05.7- [997]
|
1041 |
|
|
"00001100110", -- "11100110" +K06.7- [998]
|
1042 |
|
|
"00001111000", -- "11100111" +K07.7- [999]
|
1043 |
|
|
"11110011000", -- "11101000" +K08.7+ [1000]
|
1044 |
|
|
"00001101001", -- "11101001" +K09.7- [1001]
|
1045 |
|
|
"00001101010", -- "11101010" +K10.7- [1002]
|
1046 |
|
|
"00001001011", -- "11101011" +K11.7- [1003]
|
1047 |
|
|
"00001101100", -- "11101100" +K12.7- [1004]
|
1048 |
|
|
"00001001101", -- "11101101" +K13.7- [1005]
|
1049 |
|
|
"00001001110", -- "11101110" +K14.7- [1006]
|
1050 |
|
|
"11110000101", -- "11101111" +K15.7+ [1007]
|
1051 |
|
|
"11110001001", -- "11110000" +K16.7+ [1008]
|
1052 |
|
|
"00001110001", -- "11110001" +K17.7- [1009]
|
1053 |
|
|
"00001110010", -- "11110010" +K18.7- [1010]
|
1054 |
|
|
"00001010011", -- "11110011" +K19.7- [1011]
|
1055 |
|
|
"00001110100", -- "11110100" +K20.7- [1012]
|
1056 |
|
|
"00001010101", -- "11110101" +K21.7- [1013]
|
1057 |
|
|
"00001010110", -- "11110110" +K22.7- [1014]
|
1058 |
|
|
"11110101000", -- "11110111" +K23.7+ [1015]
|
1059 |
|
|
"11110001100", -- "11111000" +K24.7+ [1016]
|
1060 |
|
|
"00001011001", -- "11111001" +K25.7- [1017]
|
1061 |
|
|
"00001011010", -- "11111010" +K26.7- [1018]
|
1062 |
|
|
"11110100100", -- "11111011" +K27.7+ [1019]
|
1063 |
|
|
"11110000011", -- "11111100" +K28.7+ [1020]
|
1064 |
|
|
"11110100010", -- "11111101" +K29.7+ [1021]
|
1065 |
|
|
"11110100001", -- "11111110" +K30.7+ [1022]
|
1066 |
|
|
"11110001010" -- "11111111" +K31.7+ [1023]
|
1067 |
|
|
);
|
1068 |
|
|
|
1069 |
|
|
constant ENC_K28D0R0 : std_logic_vector := "00010111100"; -- -1 + K28.0 => -1
|
1070 |
|
|
constant ENC_K28D1R0 : std_logic_vector := "11001111100"; -- -1 + K28.1 => 1
|
1071 |
|
|
constant ENC_K28D2R0 : std_logic_vector := "11010111100"; -- -1 + K28.2 => 1
|
1072 |
|
|
constant ENC_K28D3R0 : std_logic_vector := "11100111100"; -- -1 + K28.3 => 1
|
1073 |
|
|
constant ENC_K28D4R0 : std_logic_vector := "00100111100"; -- -1 + K28.4 => -1
|
1074 |
|
|
constant ENC_K28D5R0 : std_logic_vector := "10101111100"; -- -1 + K28.5 => 1
|
1075 |
|
|
|
1076 |
|
|
constant ENC_K28D6R0 : std_logic_vector := "10110111100"; -- -1 + K28.6 => 1
|
1077 |
|
|
constant ENC_K28D7R0 : std_logic_vector := "00001111100"; -- -1 + K28.7 => -1
|
1078 |
|
|
constant ENC_K23D7R0 : std_logic_vector := "00001010111"; -- -1 + K23.7 => -1
|
1079 |
|
|
constant ENC_K27D7R0 : std_logic_vector := "00001011011"; -- -1 + K27.7 => -1
|
1080 |
|
|
constant ENC_K29D7R0 : std_logic_vector := "00001011101"; -- -1 + K29.7 => -1
|
1081 |
|
|
constant ENC_K30D7R0 : std_logic_vector := "00001011110"; -- -1 + K30.7 => -1
|
1082 |
|
|
constant ENC_K28D0R1 : std_logic_vector := "11101000011"; -- 1 + K28.0 => 1
|
1083 |
|
|
constant ENC_K28D1R1 : std_logic_vector := "00110000011"; -- 1 + K28.1 => -1
|
1084 |
|
|
constant ENC_K28D2R1 : std_logic_vector := "00101000011"; -- 1 + K28.2 => -1
|
1085 |
|
|
constant ENC_K28D3R1 : std_logic_vector := "00011000011"; -- 1 + K28.3 => -1
|
1086 |
|
|
constant ENC_K28D4R1 : std_logic_vector := "11011000011"; -- 1 + K28.4 => 1
|
1087 |
|
|
constant ENC_K28D5R1 : std_logic_vector := "01010000011"; -- 1 + K28.5 => -1
|
1088 |
|
|
constant ENC_K28D6R1 : std_logic_vector := "01001000011"; -- 1 + K28.6 => -1
|
1089 |
|
|
constant ENC_K28D7R1 : std_logic_vector := "11110000011"; -- 1 + K28.7 => 1
|
1090 |
|
|
constant ENC_K23D7R1 : std_logic_vector := "11110101000"; -- 1 + K23.7 => 1
|
1091 |
|
|
constant ENC_K27D7R1 : std_logic_vector := "11110100100"; -- 1 + K27.7 => 1
|
1092 |
|
|
constant ENC_K29D7R1 : std_logic_vector := "11110100010"; -- 1 + K29.7 => 1
|
1093 |
|
|
constant ENC_K30D7R1 : std_logic_vector := "11110100001"; -- 1 + K30.7 => 1
|
1094 |
|
|
constant DEC_K28D0 : std_logic_vector := "00011100"; -- K28.0
|
1095 |
|
|
constant DEC_K28D1 : std_logic_vector := "00111100"; -- K28.1
|
1096 |
|
|
constant DEC_K28D2 : std_logic_vector := "01011100"; -- K28.2
|
1097 |
|
|
constant DEC_K28D3 : std_logic_vector := "01111100"; -- K28.3
|
1098 |
|
|
constant DEC_K28D4 : std_logic_vector := "10011100"; -- K28.4
|
1099 |
|
|
constant DEC_K28D5 : std_logic_vector := "10111100"; -- K28.5
|
1100 |
|
|
constant DEC_K28D6 : std_logic_vector := "11011100"; -- K28.6
|
1101 |
|
|
constant DEC_K28D7 : std_logic_vector := "11111100"; -- K28.7
|
1102 |
|
|
constant DEC_K23D7 : std_logic_vector := "11110111"; -- K23.7
|
1103 |
|
|
constant DEC_K27D7 : std_logic_vector := "11111011"; -- K27.7
|
1104 |
|
|
constant DEC_K29D7 : std_logic_vector := "11111101"; -- K29.7
|
1105 |
|
|
constant DEC_K30D7 : std_logic_vector := "11111110"; -- K30.7
|
1106 |
|
|
|
1107 |
|
|
|
1108 |
|
|
|
1109 |
|
|
signal ENCODE : std_logic_vector (10 downto 0);
|
1110 |
|
|
begin
|
1111 |
|
|
|
1112 |
|
|
RUNDP_OUT <= ENCODE(10);
|
1113 |
|
|
ENCODE_OUT <= ENCODE(9 downto 0);
|
1114 |
|
|
|
1115 |
|
|
process (CLK_IN)
|
1116 |
|
|
begin
|
1117 |
|
|
if (CLK_IN='1' and CLK_IN'event)
|
1118 |
|
|
then
|
1119 |
|
|
ENCODE <= TBL_ENC8b10b(conv_integer(CTRL_IN & ((not RUNDP_RESET_IN) and ENCODE(10)) & DATA_IN));
|
1120 |
|
|
--ENCODE <=ENC_K28D5R0;
|
1121 |
|
|
--ENCODE <= '0' & CTRL_IN & ENCODE(10) & DATA_IN;
|
1122 |
|
|
end if;
|
1123 |
|
|
end process;
|
1124 |
|
|
end RTL;
|
1125 |
|
|
|