OpenCores
URL https://opencores.org/ocsvn/avalon-wishbone-bridge/avalon-wishbone-bridge/trunk

Subversion Repositories avalon-wishbone-bridge

[/] [avalon-wishbone-bridge/] [trunk/] [UVM/] [av_master_agent/] [avalon_m_if.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sumanta.ch
`ifndef AVALON_IF__SV
2
`define AVALON_IF__SV
3
 
4
 
5
interface avalon_if #(AW=32,DW=64,TW=2)(input bit clk,rst_n);
6
  logic  [AW-1:0]       address;
7
  logic  [DW/8-1:0]     byteenable;
8
  logic                 chipselect;
9
  logic                 read;
10
  logic                 write;
11
  logic  [DW-1:0]       readdata;
12
  logic  [DW-1:0]       writedata;
13
  logic                 waitrequest;
14
  logic                 readdatavalid;
15
  logic  [3:0]          burstcount;
16
  logic                 beginbursttransfer;
17
  // Clocking block
18
  clocking m_cb @(posedge clk);
19
    default input #1step output #1;
20
 
21
    output address;
22
    output byteenable;
23
    output chipselect;
24
    output read;
25
    output write;
26
    output writedata;
27
    output burstcount;
28
    output beginbursttransfer;
29
    input  readdata;
30
    input  waitrequest;
31
    input  readdatavalid;
32
  endclocking
33
  // Slave Clocking block
34
  clocking s_cb @(posedge clk);
35
    default input #1step output #1;
36
 
37
    input address;
38
    input byteenable;
39
    input chipselect;
40
    input read;
41
    input write;
42
    input writedata;
43
    input burstcount;
44
    input beginbursttransfer;
45
    output  readdata;
46
    output  waitrequest;
47
    output  readdatavalid;
48
  endclocking
49
modport master (clocking m_cb);
50
modport slave (clocking s_cb);
51
//task read_transaction(logic [AW-1:0] addr);
52
//      wait(waitrequest ==1'b0);
53
//      address=addr;
54
//      byteenable=8'hFF;
55
//      chipselect=1'b1;
56
//      read=1'b1;
57
//      write=1'b0;
58
//      //readdata;
59
//      writedata='0;
60
//      //waitrequest;
61
//      //readdatavalid;
62
//      burstcount='0;
63
//      beginbursttransfer=1'b0;
64
//endtask
65
//task write_transaction(logic [AW-1:0] addr, logic [DW-1:0] data);
66
//      wait(waitrequest ==1'b0);
67
//      address=addr;
68
//      byteenable=8'hFF;
69
//      chipselect=1'b1;
70
//      read=1'b0;
71
//      write=1'b1;
72
//      //readdata;
73
//      writedata=data;
74
//      //waitrequest;
75
//      //readdatavalid;
76
//      burstcount='0;
77
//      beginbursttransfer=1'b0;
78
//endtask
79
endinterface
80
 
81
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.