OpenCores
URL https://opencores.org/ocsvn/avalon-wishbone-bridge/avalon-wishbone-bridge/trunk

Subversion Repositories avalon-wishbone-bridge

[/] [avalon-wishbone-bridge/] [trunk/] [UVM/] [env.svh] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sumanta.ch
//------------------------------------------------------------
2
//   Copyright 2010 Mentor Graphics Corporation
3
//   All Rights Reserved Worldwide
4
//
5
//   Licensed under the Apache License, Version 2.0 (the
6
//   "License"); you may not use this file except in
7
//   compliance with the License.  You may obtain a copy of
8
//   the License at
9
//
10
//       http://www.apache.org/licenses/LICENSE-2.0
11
//
12
//   Unless required by applicable law or agreed to in
13
//   writing, software distributed under the License is
14
//   distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
15
//   CONDITIONS OF ANY KIND, either express or implied.  See
16
//   the License for the specific language governing
17
//   permissions and limitations under the License.
18
//------------------------------------------------------------
19
 
20
//
21
// Class Description:
22
//
23
//
24
class env extends uvm_env;
25
 
26
// UVM Factory Registration Macro
27
//
28
`uvm_component_utils(env)
29
//------------------------------------------
30
// Data Members
31
//------------------------------------------
32
wb_slave_agent slave_agent;
33
env_config m_cfg;
34
 
35
av_agent av_agent_h;
36
// Standard UVM Methods:
37
extern function new(string name = "env", uvm_component parent = null);
38
extern function void build_phase(uvm_phase phase);
39
extern function void connect_phase(uvm_phase phase);
40
 
41
endclass:env
42
 
43
function env::new(string name = "env", uvm_component parent = null);
44
  super.new(name, parent);
45
endfunction
46
 
47
function void env::build_phase(uvm_phase phase);
48
  super.build_phase(phase);
49
  m_cfg = env_config::get_config(this);
50
  slave_agent = wb_slave_agent#(32,64,2)::type_id::create("slave_agent", this);
51
  av_agent_h=new("av_agent_h",this);
52
endfunction:build_phase
53
 
54
function void env::connect_phase(uvm_phase phase);
55
endfunction: connect_phase

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.