OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [axi4-stream-bfm-master.vhdl] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 daniel.kho
/*
2
        This file is part of the AXI4 Transactor and Bus Functional Model
3
        (axi4_tlm_bfm) project:
4
                http://www.opencores.org/project,axi4_tlm_bfm
5
 
6
        Description
7
        Implementation of AXI4 Master BFM core according to AXI4 protocol
8
        specification document.
9
 
10
        To Do: Implement AXI4-Lite and full AXI4 protocols.
11
 
12
        Author(s):
13
        - Daniel C.K. Kho, daniel.kho@opencores.org | daniel.kho@tauhop.com
14
 
15
        Copyright (C) 2012-2013 Authors and OPENCORES.ORG
16
 
17
        This source file may be used and distributed without
18
        restriction provided that this copyright statement is not
19
        removed from the file and that any derivative work contains
20
        the original copyright notice and the associated disclaimer.
21
 
22
        This source file is free software; you can redistribute it
23
        and/or modify it under the terms of the GNU Lesser General
24
        Public License as published by the Free Software Foundation;
25
        either version 2.1 of the License, or (at your option) any
26
        later version.
27
 
28
        This source is distributed in the hope that it will be
29
        useful, but WITHOUT ANY WARRANTY; without even the implied
30
        warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
31
        PURPOSE. See the GNU Lesser General Public License for more
32
        details.
33
 
34
        You should have received a copy of the GNU Lesser General
35
        Public License along with this source; if not, download it
36
        from http://www.opencores.org/lgpl.shtml.
37
*/
38
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
39 12 daniel.kho
library tauhop; use tauhop.axiTransactor.all;
40 7 daniel.kho
 
41
--/* TODO remove once generic packages are supported. */
42
--library tauhop; use tauhop.tlm.all, tauhop.axiTLM.all;
43
 
44 17 daniel.kho
entity axiBfmMaster is
45 7 daniel.kho
        port(aclk,n_areset:in std_ulogic;
46
                /* BFM signalling. */
47 15 daniel.kho
                readRequest,writeRequest:in i_transactor.t_bfm:=(address=>(others=>'X'), message=>(others=>'X'), trigger=>false);
48 12 daniel.kho
                readResponse,writeResponse:buffer i_transactor.t_bfm;                                                                   -- use buffer until synthesis tools support reading from out ports.
49 7 daniel.kho
 
50
                /* AXI Master interface */
51
                axiMaster_in:in t_axi4StreamTransactor_s2m;
52
                axiMaster_out:buffer t_axi4StreamTransactor_m2s;
53
 
54
--              /* AXI Slave interface */
55
--              axiSlave_in:in tAxi4Transactor_m2s;
56
--              axiSlave_out:buffer tAxi4Transactor_s2m;
57
 
58 39 daniel.kho
--              symbolsPerTransfer:in i_transactor.t_cnt;
59
--              outstandingTransactions:in i_transactor.t_cnt;
60
                lastTransaction:in boolean;
61 7 daniel.kho
 
62
                /* Debug ports. */
63 8 daniel.kho
--              dbg_cnt:out unsigned(9 downto 0);
64
--              dbg_axiRxFsm:out axiBfmStatesRx:=idle;
65 24 daniel.kho
                dbg_axiTxFsm:out axiBfmStatesTx:=idle
66 7 daniel.kho
        );
67
end entity axiBfmMaster;
68
 
69
architecture rtl of axiBfmMaster is
70
        /* Finite-state Machines. */
71
        signal axiTxState,next_axiTxState:axiBfmStatesTx:=idle;
72
 
73 13 daniel.kho
        signal i_axiMaster_out:t_axi4StreamTransactor_m2s;
74 17 daniel.kho
        signal i_trigger,trigger:boolean;
75 13 daniel.kho
 
76 7 daniel.kho
        /* BFM signalling. */
77 17 daniel.kho
--      signal i_readRequest,i_writeRequest:i_transactor.t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
78
--      signal i_readResponse,i_writeResponse:i_transactor.t_bfm;
79
        signal i_writeRequest:i_transactor.t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
80
        signal i_writeResponse:i_transactor.t_bfm;
81 7 daniel.kho
 
82
begin
83 17 daniel.kho
        i_trigger<=writeRequest.trigger xor i_writeRequest.trigger;
84
 
85 7 daniel.kho
        /* next-state logic for AXI4-Stream Master Tx BFM. */
86
        axi_bfmTx_ns: process(all) is begin
87
                axiTxState<=next_axiTxState;
88
 
89 13 daniel.kho
                if not n_areset then axiTxState<=idle;
90
                else
91
                        case next_axiTxState is
92
                                when idle=>
93 17 daniel.kho
                                        if i_trigger then axiTxState<=payload; end if;
94 13 daniel.kho
                                when payload=>
95 39 daniel.kho
                                        if lastTransaction then axiTxState<=endOfTx; end if;
96 13 daniel.kho
                                when endOfTx=>
97
                                        axiTxState<=idle;
98
                                when others=>axiTxState<=idle;
99
                        end case;
100
                end if;
101 7 daniel.kho
        end process axi_bfmTx_ns;
102
 
103
        /* output logic for AXI4-Stream Master Tx BFM. */
104
        axi_bfmTx_op: process(all) is begin
105 10 daniel.kho
                i_writeResponse<=writeResponse;
106 7 daniel.kho
 
107 13 daniel.kho
                i_axiMaster_out.tLast<=false;
108 10 daniel.kho
                i_writeResponse.trigger<=false;
109
 
110 7 daniel.kho
                case next_axiTxState is
111 17 daniel.kho
                        when idle=>
112 39 daniel.kho
                                i_axiMaster_out.tValid<=false;
113
                                i_axiMaster_out.tData<=(others=>'Z');
114
 
115 17 daniel.kho
                                if i_trigger then
116
                                        i_axiMaster_out.tData<=writeRequest.message;
117
                                        i_axiMaster_out.tValid<=true;
118
                                end if;
119 39 daniel.kho
                        when payload | endOfTx =>
120
                                if i_trigger then
121
                                        i_axiMaster_out.tData<=writeRequest.message;
122
                                        i_axiMaster_out.tValid<=true;
123
                                end if;
124 10 daniel.kho
 
125 7 daniel.kho
                                if axiMaster_in.tReady then
126 10 daniel.kho
                                        i_writeResponse.trigger<=true;
127 7 daniel.kho
                                end if;
128 10 daniel.kho
 
129 39 daniel.kho
                                if lastTransaction then i_axiMaster_out.tLast<=true; end if;
130 10 daniel.kho
                        when others=> null;
131 7 daniel.kho
                end case;
132
        end process axi_bfmTx_op;
133
 
134
        /* state registers and pipelines for AXI4-Stream Tx BFM. */
135 17 daniel.kho
        process(aclk) is begin
136 13 daniel.kho
                if falling_edge(aclk) then
137 7 daniel.kho
                        next_axiTxState<=axiTxState;
138
                        i_writeRequest<=writeRequest;
139 17 daniel.kho
                        writeResponse<=i_writeResponse;
140
                        axiMaster_out<=i_axiMaster_out;
141
                        trigger<=i_trigger;
142 7 daniel.kho
                end if;
143
        end process;
144
 
145 24 daniel.kho
        dbg_axiTxFSM<=axiTxState;
146 9 daniel.kho
end architecture rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.