OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [quartus-synthesis/] [axi4-stream-bfm-master.vhdl] - Blame information for rev 42

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 daniel.kho
/*
2
        This file is part of the AXI4 Transactor and Bus Functional Model
3
        (axi4_tlm_bfm) project:
4
                http://www.opencores.org/project,axi4_tlm_bfm
5
 
6
        Description
7
        Implementation of AXI4 Master BFM core according to AXI4 protocol
8
        specification document.
9
 
10
        To Do: Implement AXI4-Lite and full AXI4 protocols.
11
 
12
        Author(s):
13
        - Daniel C.K. Kho, daniel.kho@opencores.org | daniel.kho@tauhop.com
14
 
15
        Copyright (C) 2012-2013 Authors and OPENCORES.ORG
16
 
17
        This source file may be used and distributed without
18
        restriction provided that this copyright statement is not
19
        removed from the file and that any derivative work contains
20
        the original copyright notice and the associated disclaimer.
21
 
22
        This source file is free software; you can redistribute it
23
        and/or modify it under the terms of the GNU Lesser General
24
        Public License as published by the Free Software Foundation;
25
        either version 2.1 of the License, or (at your option) any
26
        later version.
27
 
28
        This source is distributed in the hope that it will be
29
        useful, but WITHOUT ANY WARRANTY; without even the implied
30
        warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
31
        PURPOSE. See the GNU Lesser General Public License for more
32
        details.
33
 
34
        You should have received a copy of the GNU Lesser General
35
        Public License along with this source; if not, download it
36
        from http://www.opencores.org/lgpl.shtml.
37
*/
38
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all;
39 13 daniel.kho
--library tauhop; use tauhop.axiTransactor.all;
40 9 daniel.kho
 
41 17 daniel.kho
/* TODO remove once generic packages are supported. */
42 9 daniel.kho
library tauhop; use tauhop.tlm.all, tauhop.axiTLM.all;
43
 
44 13 daniel.kho
entity axiBfmMaster is
45 9 daniel.kho
        port(aclk,n_areset:in std_ulogic;
46
                /* BFM signalling. */
47 17 daniel.kho
                readRequest,writeRequest:in t_bfm:=(address=>(others=>'X'), message=>(others=>'X'), trigger=>false);
48 9 daniel.kho
                readResponse,writeResponse:buffer t_bfm;                                                                        -- use buffer until synthesis tools support reading from out ports.
49
 
50
                /* AXI Master interface */
51
                axiMaster_in:in t_axi4StreamTransactor_s2m;
52
                axiMaster_out:buffer t_axi4StreamTransactor_m2s;
53
 
54
--              /* AXI Slave interface */
55
--              axiSlave_in:in tAxi4Transactor_m2s;
56
--              axiSlave_out:buffer tAxi4Transactor_s2m;
57
 
58 42 daniel.kho
                lastTransaction:in boolean;
59 9 daniel.kho
 
60
                /* Debug ports. */
61
--              dbg_cnt:out unsigned(9 downto 0);
62
--              dbg_axiRxFsm:out axiBfmStatesRx:=idle;
63 13 daniel.kho
                dbg_axiTxFsm:out axiBfmStatesTx:=idle
64 9 daniel.kho
        );
65
end entity axiBfmMaster;
66
 
67
architecture rtl of axiBfmMaster is
68
        /* Finite-state Machines. */
69
        signal axiTxState,next_axiTxState:axiBfmStatesTx:=idle;
70
 
71 17 daniel.kho
        signal i_axiMaster_out:t_axi4StreamTransactor_m2s;
72
        signal i_trigger,trigger:boolean;
73
 
74 9 daniel.kho
        /* BFM signalling. */
75 17 daniel.kho
--      signal i_readRequest,i_writeRequest:t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
76
--      signal i_readResponse,i_writeResponse:t_bfm;
77
        signal i_writeRequest:t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
78
        signal i_writeResponse:t_bfm;
79 9 daniel.kho
 
80 17 daniel.kho
begin
81
        i_trigger<=writeRequest.trigger xor i_writeRequest.trigger;
82 9 daniel.kho
 
83
        /* next-state logic for AXI4-Stream Master Tx BFM. */
84
        axi_bfmTx_ns: process(all) is begin
85
                axiTxState<=next_axiTxState;
86
 
87 13 daniel.kho
                if not n_areset then axiTxState<=idle;
88
                else
89
                        case next_axiTxState is
90
                                when idle=>
91 17 daniel.kho
                                        if i_trigger then axiTxState<=payload; end if;
92 13 daniel.kho
                                when payload=>
93 42 daniel.kho
                                        if lastTransaction then axiTxState<=endOfTx; end if;
94 13 daniel.kho
                                when endOfTx=>
95
                                        axiTxState<=idle;
96
                                when others=>axiTxState<=idle;
97
                        end case;
98
                end if;
99 9 daniel.kho
        end process axi_bfmTx_ns;
100
 
101
        /* output logic for AXI4-Stream Master Tx BFM. */
102
        axi_bfmTx_op: process(all) is begin
103 11 daniel.kho
                i_writeResponse<=writeResponse;
104 9 daniel.kho
 
105 42 daniel.kho
                i_axiMaster_out<=axiMaster_out;
106 17 daniel.kho
                i_axiMaster_out.tLast<=false;
107 11 daniel.kho
                i_writeResponse.trigger<=false;
108
 
109 17 daniel.kho
                case next_axiTxState is
110
                        when idle=>
111 42 daniel.kho
                                i_axiMaster_out.tValid<=false;
112
                                i_axiMaster_out.tData<=(others=>'Z');
113
 
114 17 daniel.kho
                                if i_trigger then
115
                                        i_axiMaster_out.tData<=writeRequest.message;
116
                                        i_axiMaster_out.tValid<=true;
117
                                end if;
118 42 daniel.kho
                        when payload | endOfTx =>
119
                                if i_trigger then
120
                                        i_axiMaster_out.tData<=writeRequest.message;
121
                                        i_axiMaster_out.tValid<=true;
122
                                end if;
123 17 daniel.kho
 
124
                                if axiMaster_in.tReady then
125
                                        i_writeResponse.trigger<=true;
126
                                end if;
127
 
128 42 daniel.kho
                                if lastTransaction then i_axiMaster_out.tLast<=true; end if;
129 17 daniel.kho
                        when others=> null;
130
                end case;
131 9 daniel.kho
        end process axi_bfmTx_op;
132
 
133
        /* state registers and pipelines for AXI4-Stream Tx BFM. */
134 17 daniel.kho
        process(aclk) is begin
135 13 daniel.kho
                if falling_edge(aclk) then
136 9 daniel.kho
                        next_axiTxState<=axiTxState;
137
                        i_writeRequest<=writeRequest;
138 11 daniel.kho
                        writeResponse<=i_writeResponse;
139 17 daniel.kho
                        axiMaster_out<=i_axiMaster_out;
140
                        trigger<=i_trigger;
141 11 daniel.kho
                end if;
142
        end process;
143 13 daniel.kho
 
144
        dbg_axiTxFSM<=axiTxState;
145 9 daniel.kho
end architecture rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.