OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [rtl/] [quartus-synthesis/] [tester.vhdl] - Blame information for rev 42

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 daniel.kho
/*
2
        This file is part of the AXI4 Transactor and Bus Functional Model
3
        (axi4_tlm_bfm) project:
4
                http://www.opencores.org/project,axi4_tlm_bfm
5
 
6
        Description
7
        Synthesisable use case for AXI4 on-chip messaging.
8
 
9
        To Do:
10
 
11
        Author(s):
12
        - Daniel C.K. Kho, daniel.kho@opencores.org | daniel.kho@tauhop.com
13
 
14
        Copyright (C) 2012-2013 Authors and OPENCORES.ORG
15
 
16
        This source file may be used and distributed without
17
        restriction provided that this copyright statement is not
18
        removed from the file and that any derivative work contains
19
        the original copyright notice and the associated disclaimer.
20
 
21
        This source file is free software; you can redistribute it
22
        and/or modify it under the terms of the GNU Lesser General
23
        Public License as published by the Free Software Foundation;
24
        either version 2.1 of the License, or (at your option) any
25
        later version.
26
 
27
        This source is distributed in the hope that it will be
28
        useful, but WITHOUT ANY WARRANTY; without even the implied
29
        warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
30
        PURPOSE. See the GNU Lesser General Public License for more
31
        details.
32
 
33
        You should have received a copy of the GNU Lesser General
34
        Public License along with this source; if not, download it
35
        from http://www.opencores.org/lgpl.shtml.
36
*/
37 42 daniel.kho
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all, ieee.math_real.all;
38 18 daniel.kho
--library tauhop; use tauhop.transactor.all, tauhop.axiTransactor.all;          --TODO just use axiTransactor here as transactor should already be wrapped up.
39
 
40
/* TODO remove once generic packages are supported. */
41
library tauhop; use tauhop.tlm.all, tauhop.axiTLM.all;
42
 
43
/* synthesis translate_off */
44 42 daniel.kho
library osvvm; use osvvm.RandomPkg.all, osvvm.CoveragePkg.all;
45 18 daniel.kho
/* synthesis translate_on */
46
 
47
library altera; use altera.stp;
48
 
49
 
50
entity tester is port(
51
        /* Comment-out for simulation. */
52
        clk,reset:in std_ulogic;
53
 
54
        /* AXI Master interface */
55
        axiMaster_in:buffer t_axi4StreamTransactor_s2m;
56
        axiMaster_out:in t_axi4StreamTransactor_m2s;
57
 
58
        /* BFM signalling. */
59
--      readRequest,writeRequest:t_bfm:=(address=>(others=>'X'),message=>(others=>'X'),trigger=>false);
60
--      readResponse,writeResponse:t_bfm;
61
        readRequest,writeRequest:buffer t_bfm;
62
        readResponse,writeResponse:in t_bfm;
63
 
64
        irq_write:buffer std_ulogic;            -- clock gating.
65
 
66 42 daniel.kho
        lastTransaction:buffer boolean;
67 18 daniel.kho
 
68
        /* Debug ports. */
69
--      dataIn:in t_msg;
70
        selTxn:in unsigned(3 downto 0)
71
);
72
end entity tester;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.