OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [workspace/] [simulation/] [questa/] [simulate.cmd] - Blame information for rev 32

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 daniel.kho
rem !/bin/bash
2
rem
3
rem     Example bash script for Mentor Graphics QuestaSim/ModelSim simulation.
4
rem
5
rem     Author(s):
6
rem     - Daniel C.K. Kho, daniel.kho@opencores.org | daniel.kho@tauhop.com
7
rem
8
rem     Copyright (C) 2012-2013 Authors and OPENCORES.ORG
9
rem
10
rem  This program is free software: you can redistribute it and/or modify
11
rem  it under the terms of the GNU General Public License as published by
12
rem  the Free Software Foundation, either version 3 of the License, or
13
rem  (at your option) any later version.
14
rem
15
rem  This program is distributed in the hope that it will be useful,
16
rem  but WITHOUT ANY WARRANTY; without even the implied warranty of
17
rem  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
rem  GNU General Public License for more details.
19
rem
20
rem  You should have received a copy of the GNU General Public License
21
rem  along with this program.  If not, see .
22
rem
23
rem  This notice and disclaimer must be retained as part of this text at all times.
24
rem
25
rem     @dependencies:
26
rem     @designer: Daniel C.K. Kho [daniel.kho@gmail.com] | [daniel.kho@tauhop.com]
27
rem     @history: @see Mercurial log for full list of changes.
28
rem
29
rem     @Description:
30
rem
31
 
32
rem read -p "press Enter to run full simulation now, or Ctrl-C to exit: ";
33
del modelsim.ini ./simulate.log ./work ./altera ./osvvm ./tauhop
34
 
35
vlib work; vmap work work
36
vlib osvvm; vmap osvvm osvvm
37
vlib tauhop; vmap tauhop tauhop
38
 
39
vcom -2008 -work osvvm ../../../rtl/packages/os-vvm/SortListPkg_int.vhd ../../../rtl/packages/os-vvm/RandomBasePkg.vhd ../../../rtl/packages/os-vvm/RandomPkg.vhd ../../../rtl/packages/os-vvm/CoveragePkg.vhd
40
 
41
vcom -2008 -work tauhop ../../../rtl/packages/pkg-tlm.vhdl ../../../rtl/packages/pkg-axi-tlm.vhdl ../../../rtl/packages/pkg-types.vhdl ../../../rtl/axi4-stream-bfm-master.vhdl ../../../tester/stimuli/galois-lfsr.vhdl ../../../tester/stimuli/prbs-31.vhdl
42
 
43
vcom -2008 -work work ../../../tester/tester.vhdl ../../../rtl/user.vhdl
44
 
45
rem Make sure you have no compilation errors before you run vsim.
46
rem vsim -t ps -do ./waves.do -voptargs="+acc" "work.user(rtl)";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.