OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [workspace/] [simulation/] [questa/] [simulate.cmd] - Blame information for rev 34

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 daniel.kho
rem     Example bash script for Mentor Graphics QuestaSim/ModelSim simulation.
2
rem
3
rem     Author(s):
4
rem     - Daniel C.K. Kho, daniel.kho@opencores.org | daniel.kho@tauhop.com
5
rem
6
rem     Copyright (C) 2012-2013 Authors and OPENCORES.ORG
7
rem
8
rem  This program is free software: you can redistribute it and/or modify
9
rem  it under the terms of the GNU General Public License as published by
10
rem  the Free Software Foundation, either version 3 of the License, or
11
rem  (at your option) any later version.
12
rem
13
rem  This program is distributed in the hope that it will be useful,
14
rem  but WITHOUT ANY WARRANTY; without even the implied warranty of
15
rem  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
16
rem  GNU General Public License for more details.
17
rem
18
rem  You should have received a copy of the GNU General Public License
19
rem  along with this program.  If not, see .
20
rem
21
rem  This notice and disclaimer must be retained as part of this text at all times.
22
rem
23
rem     @dependencies:
24
rem     @designer: Daniel C.K. Kho [daniel.kho@gmail.com] | [daniel.kho@tauhop.com]
25
rem     @history: @see Mercurial log for full list of changes.
26
rem
27
rem     @Description:
28
rem
29
 
30 33 daniel.kho
rem Remove logs, and previous compilation netlist files.
31 32 daniel.kho
del modelsim.ini ./simulate.log ./work ./altera ./osvvm ./tauhop
32
 
33 33 daniel.kho
vlib work
34
vmap work work
35 32 daniel.kho
 
36 33 daniel.kho
vlib osvvm
37
vmap osvvm osvvm
38
 
39
vlib tauhop
40
vmap tauhop tauhop
41
 
42 34 daniel.kho
vcom -2008 -work osvvm "../../../rtl/packages/os-vvm/SortListPkg_int.vhd" "../../../rtl/packages/os-vvm/RandomBasePkg.vhd" "../../../rtl/packages/os-vvm/RandomPkg.vhd" "../../../rtl/packages/os-vvm/CoveragePkg.vhd"
43 32 daniel.kho
 
44 34 daniel.kho
vcom -2008 -work tauhop "../../../rtl/packages/pkg-tlm.vhdl" "../../../rtl/packages/pkg-axi-tlm.vhdl" "../../../rtl/packages/pkg-types.vhdl" "../../../rtl/axi4-stream-bfm-master.vhdl" "../../../tester/stimuli/galois-lfsr.vhdl" "../../../tester/stimuli/prbs-31.vhdl"
45 32 daniel.kho
 
46 34 daniel.kho
vcom -2008 -work work "../../../tester/tester.vhdl" "../../../rtl/user.vhdl"
47 32 daniel.kho
 
48
rem Make sure you have no compilation errors before you run vsim.
49 33 daniel.kho
rem vsim -t ps -do ./waves.do -voptargs="+acc" "work.user(rtl)"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.