OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [workspace/] [simulation/] [questa/] [waves.do] - Blame information for rev 41

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 daniel.kho
configure wave -signalnamewidth 1
2
 
3
add wave -divider "DUV"
4 41 daniel.kho
add wave -position end -decimal sim:/user/axiMaster/lastTransaction
5 29 daniel.kho
add wave -position end  sim:/user/axiMaster/axiTxState
6
add wave -position end  sim:/user/axiMaster/next_axiTxState
7
 
8
add wave -divider "Tester"
9
add wave -position end  sim:/user/clk
10
add wave -position end  sim:/user/reset
11
add wave -position end  sim:/user/irq_write
12
add wave -position end  sim:/user/axiMaster/trigger
13
add wave -position end  sim:/user/axiMaster/i_trigger
14
add wave -position end  -hexadecimal sim:/bist/prbs
15 41 daniel.kho
add wave -position end  sim:/bist/isCovered
16
add wave -position end  sim:/bist/i_isCovered
17 29 daniel.kho
 
18
# Paper publication:
19
#add wave -position end  sim:/user/irq_write
20
#add wave -position end  -hexadecimal sim:/user/axiMaster_in.tReady
21
#add wave -position end  -hexadecimal sim:/user/axiMaster_out.tValid
22
#add wave -position end  -hexadecimal sim:/user/axiMaster_out.tData
23
#add wave -position end  -hexadecimal sim:/bist/prbs
24
#add wave -position end  -hexadecimal sim:/user/writeRequest.trigger
25
#add wave -position end  -hexadecimal sim:/user/writeResponse.trigger
26
 
27
add wave -position end -expand -hexadecimal sim:/user/axiMaster_in
28
add wave -position end -expand -hexadecimal sim:/user/axiMaster_out
29
add wave -position end -decimal sim:/user/readRequest
30
add wave -position end -expand -hexadecimal sim:/user/writeRequest
31
add wave -position end -decimal sim:/user/readResponse
32
add wave -position end -expand -hexadecimal sim:/user/axiMaster/i_writeResponse
33
add wave -position end -expand -hexadecimal sim:/user/writeResponse
34
add wave -position end sim:/bist/txFSM
35
add wave -position end sim:/bist/i_txFSM
36
 
37
#OS-VVM solution:
38
#add wave -position end -unsigned -format analog-step -height 80 -scale 0.4e-17 sim:/user/axiMaster_out.tData
39
 
40
#LFSR solution:
41
add wave -position end -unsigned -format analog-step -height 80 -scale 0.18e-7 sim:/user/axiMaster_out.tData
42
 
43
add wave -position end  sim:/bist/i_prbs/isParallelLoad
44
add wave -position end  sim:/bist/i_prbs/loadEn
45
add wave -position end  sim:/bist/i_prbs/loaded
46
add wave -position end  sim:/bist/i_prbs/i_loaded
47
add wave -position end  sim:/bist/i_prbs/load
48
add wave -position end  -hexadecimal sim:/bist/i_prbs/d
49
add wave -position end  -hexadecimal sim:/bist/i_prbs/seed
50
add wave -position end  -hexadecimal sim:/bist/prbs
51
 
52 41 daniel.kho
run -all;
53 29 daniel.kho
 
54
wave zoomfull
55
#.wave.tree zoomfull    # with some versions of ModelSim

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.