OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

[/] [axi4_tlm_bfm/] [trunk/] [workspace/] [synthesis/] [quartus/] [axi4-tlm.sdc] - Blame information for rev 30

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 30 daniel.kho
create_clock -period 100MHz -name clk [get_ports {clk}]
2
#derive_pll_clocks -create_base_clock
3
derive_clock_uncertainty
4
 
5
set_false_path -from [get_keepers *por*] -to [get_keepers *por*]
6
set_false_path -from [get_keepers *reset*]
7
 
8
#if {$::quartus(nameofexecutable) == "quartus_fit"} {
9
#set_max_delay -from *symbolsPerTransfer* -to *i1_outstandingTransactions* -10.000
10
#set_min_delay -from *symbolsPerTransfer* -to *i1_outstandingTransactions* -10.000
11
 
12
##set_max_delay -to [get_clocks clk] 20
13
#}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.