OpenCores
URL https://opencores.org/ocsvn/bit_gpio/bit_gpio/trunk

Subversion Repositories bit_gpio

[/] [bit_gpio/] [trunk/] [sopc/] [gpio_hw.tcl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 hippo5329
# TCL File Generated by Component Editor 9.0
2
# Thu Apr 23 11:35:45 CST 2009
3
# DO NOT MODIFY
4
 
5
 
6
# +-----------------------------------
7
# | 
8
# | gpio "gpio" v2.0
9
# | Thomas Chou 2009.04.23.11:35:45
10
# | generic gpio
11
# | 
12
# | /home/thomas/new2/gpio/t1proj/gpio.v
13
# | 
14
# |    ./gpio.v syn, sim
15
# | 
16
# +-----------------------------------
17
 
18
 
19
# +-----------------------------------
20
# | module gpio
21
# | 
22
set_module_property DESCRIPTION "generic gpio"
23
set_module_property NAME gpio
24
set_module_property VERSION 2.0
25
set_module_property INTERNAL false
26
set_module_property GROUP "Peripherals/Microcontroller Peripherals"
27
set_module_property AUTHOR "Thomas Chou"
28
set_module_property DISPLAY_NAME gpio
29
set_module_property TOP_LEVEL_HDL_FILE hdl/gpio.v
30
set_module_property TOP_LEVEL_HDL_MODULE gpio
31
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
32
set_module_property EDITABLE true
33
# | 
34
# +-----------------------------------
35
 
36
# +-----------------------------------
37
# | files
38
# | 
39
add_file hdl/gpio.v {SYNTHESIS SIMULATION}
40
# | 
41
# +-----------------------------------
42
 
43
# +-----------------------------------
44
# | parameters
45
# | 
46
add_parameter BIDIR_WIDTH INTEGER 8
47
set_parameter_property BIDIR_WIDTH DISPLAY_NAME BIDIR_WIDTH
48
set_parameter_property BIDIR_WIDTH UNITS None
49
set_parameter_property BIDIR_WIDTH DISPLAY_HINT "Total IO width"
50
set_parameter_property BIDIR_WIDTH AFFECTS_GENERATION true
51
set_parameter_property BIDIR_WIDTH IS_HDL_PARAMETER true
52
add_parameter INPUT_WIDTH INTEGER 4
53
set_parameter_property INPUT_WIDTH DISPLAY_NAME INPUT_WIDTH
54
set_parameter_property INPUT_WIDTH UNITS None
55
set_parameter_property INPUT_WIDTH DISPLAY_HINT "Bidir IO width"
56
set_parameter_property INPUT_WIDTH AFFECTS_GENERATION true
57
set_parameter_property INPUT_WIDTH IS_HDL_PARAMETER true
58
add_parameter ADDR_WIDTH INTEGER 4
59
set_parameter_property ADDR_WIDTH DISPLAY_NAME ADDR_WIDTH
60
set_parameter_property ADDR_WIDTH UNITS None
61
set_parameter_property ADDR_WIDTH DISPLAY_HINT "Address width"
62
set_parameter_property ADDR_WIDTH AFFECTS_GENERATION true
63
set_parameter_property ADDR_WIDTH IS_HDL_PARAMETER true
64
# | 
65
# +-----------------------------------
66
 
67
# +-----------------------------------
68
# | connection point avalon_slave_0
69
# | 
70
add_interface avalon_slave_0 avalon end
71
set_interface_property avalon_slave_0 addressAlignment NATIVE
72
set_interface_property avalon_slave_0 bridgesToMaster ""
73
set_interface_property avalon_slave_0 burstOnBurstBoundariesOnly false
74
set_interface_property avalon_slave_0 holdTime 0
75
set_interface_property avalon_slave_0 isMemoryDevice false
76
set_interface_property avalon_slave_0 isNonVolatileStorage false
77
set_interface_property avalon_slave_0 linewrapBursts false
78
set_interface_property avalon_slave_0 maximumPendingReadTransactions 0
79
set_interface_property avalon_slave_0 printableDevice false
80
set_interface_property avalon_slave_0 readLatency 0
81
set_interface_property avalon_slave_0 readWaitTime 1
82
set_interface_property avalon_slave_0 setupTime 0
83
set_interface_property avalon_slave_0 timingUnits Cycles
84
set_interface_property avalon_slave_0 writeWaitTime 0
85
 
86
set_interface_property avalon_slave_0 ASSOCIATED_CLOCK clock_reset
87
set_interface_property avalon_slave_0 ENABLED true
88
 
89
add_interface_port avalon_slave_0 readdata readdata Output 2
90
add_interface_port avalon_slave_0 address address Input -1
91
add_interface_port avalon_slave_0 write_n write_n Input 1
92
add_interface_port avalon_slave_0 writedata writedata Input 2
93
# | 
94
# +-----------------------------------
95
 
96
# +-----------------------------------
97
# | connection point clock_reset
98
# | 
99
add_interface clock_reset clock end
100
set_interface_property clock_reset ptfSchematicName ""
101
 
102
set_interface_property clock_reset ENABLED true
103
 
104
add_interface_port clock_reset clk clk Input 1
105
add_interface_port clock_reset reset_n reset_n Input 1
106
# | 
107
# +-----------------------------------
108
 
109
# +-----------------------------------
110
# | connection point conduit_end
111
# | 
112
add_interface conduit_end conduit end
113
 
114
set_interface_property conduit_end ASSOCIATED_CLOCK clock_reset
115
set_interface_property conduit_end ENABLED true
116
 
117
add_interface_port conduit_end bidir_port export Bidir -1
118
add_interface_port conduit_end input_port export Input -1
119
# | 
120
# +-----------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.