OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [rtl/] [altera/] [virtual_jtag_adda_fifo.v] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ash_riple
//**************************************************************
2
// Module             : virtual_jtag_adda_fifo.v
3
// Platform           : Windows xp sp2
4
// Simulator          : Modelsim 6.5b
5
// Synthesizer        : QuartusII 10.1 sp1
6
// Place and Route    : QuartusII 10.1 sp1
7
// Targets device     : Cyclone III
8
// Author             : Bibo Yang  (ash_riple@hotmail.com)
9
// Organization       : www.opencores.org
10
// Revision           : 2.0 
11
// Date               : 2012/03/12
12
// Description        : addr/data capture output to debug host
13
//                      via Virtual JTAG.
14
//**************************************************************
15
 
16
`timescale 1ns/1ns
17
 
18 2 ash_riple
module virtual_jtag_adda_fifo(clk,wr_en,data_in);
19
 
20
parameter data_width  = 32,
21
          fifo_depth  = 256,
22
          addr_width  = 8,
23
          al_full_val = 255,
24
          al_empt_val = 0;
25
 
26
input clk;
27
input wr_en;
28
input [data_width-1:0] data_in;
29
 
30
wire tdi, tck, cdr, cir, e1dr, e2dr, pdr, sdr, udr, uir;
31
reg  tdo;
32
reg  [addr_width-1:0] usedw_instr_reg;
33
reg  reset_instr_reg;
34
reg  [data_width-1:0] read_instr_reg;
35
reg  bypass_reg;
36
 
37
wire [1:0] ir_in;
38
wire usedw_instr  = ~ir_in[1] &  ir_in[0]; // 1
39
wire reset_instr  =  ir_in[1] & ~ir_in[0]; // 2
40
wire read_instr   =  ir_in[1] &  ir_in[0]; // 3
41
 
42
wire reset = reset_instr && e1dr;
43
 
44
wire [addr_width-1:0] usedw;
45
wire [data_width-1:0] data_out;
46
wire full;
47
wire al_full;
48
 
49
reg read_instr_d1;
50
reg read_instr_d2;
51
reg read_instr_d3;
52
wire rd_en = read_instr_d2 & !read_instr_d3;
53
always @(posedge clk or posedge reset)
54
begin
55
  if (reset)
56
  begin
57
    read_instr_d1 <= 1'b0;
58
    read_instr_d2 <= 1'b0;
59
    read_instr_d3 <= 1'b0;
60
  end
61
  else
62
  begin
63
    read_instr_d1 <= read_instr;
64
    read_instr_d2 <= read_instr_d1;
65
    read_instr_d3 <= read_instr_d2;
66
  end
67
end
68
 
69
scfifo  jtag_fifo (
70
        .aclr (reset),
71
        .clock (clk),
72
        .wrreq (wr_en & !al_full),
73
        .data (data_in),
74
        .rdreq (rd_en),
75
        .q (data_out),
76
        .full (full),
77
        .almost_full (al_full),
78
        .empty (),
79
        .almost_empty (),
80
        .usedw (usedw),
81
        .sclr ());
82
    defparam
83
        jtag_fifo.lpm_width = data_width,
84
        jtag_fifo.lpm_numwords = fifo_depth,
85
        jtag_fifo.lpm_widthu = addr_width,
86
        jtag_fifo.intended_device_family = "Stratix II",
87
        jtag_fifo.almost_full_value = al_full_val,
88
        jtag_fifo.almost_empty_value = al_empt_val,
89
        jtag_fifo.lpm_type = "scfifo",
90
        jtag_fifo.lpm_showahead = "OFF",
91
        jtag_fifo.overflow_checking = "ON",
92
        jtag_fifo.underflow_checking = "ON",
93
        jtag_fifo.use_eab = "ON",
94
        jtag_fifo.add_ram_output_register = "ON";
95
 
96
 
97
/* usedw_instr Instruction Handler */
98
always @ (posedge tck)
99
  if ( usedw_instr && cdr )
100
    usedw_instr_reg <= usedw;
101
  else if ( usedw_instr && sdr )
102
    usedw_instr_reg <= {tdi, usedw_instr_reg[addr_width-1:1]};
103
 
104
/* reset_instr Instruction Handler */
105
always @ (posedge tck)
106
  if ( reset_instr && sdr )
107
    reset_instr_reg <= tdi;//{tdi, reset_instr_reg[data_width-1:1]};
108
 
109
/* read_instr Instruction Handler */
110
always @ (posedge tck)
111
  if ( read_instr && cdr )
112
    read_instr_reg <= data_out;
113
  else if ( read_instr && sdr )
114
    read_instr_reg <= {tdi, read_instr_reg[data_width-1:1]};
115
 
116
/* Bypass register */
117
always @ (posedge tck)
118
  bypass_reg = tdi;
119
 
120
/* Node TDO Output */
121
always @ ( usedw_instr, reset_instr, read_instr, usedw_instr_reg[0], reset_instr_reg/*[0]*/, read_instr_reg[0], bypass_reg )
122
begin
123
  if (usedw_instr)
124
    tdo <= usedw_instr_reg[0];
125
  else if (reset_instr)
126
    tdo <= reset_instr_reg/*[0]*/;
127
  else if (read_instr)
128
    tdo <= read_instr_reg[0];
129
  else
130
    tdo <= bypass_reg;          // Used to maintain the continuity of the scan chain.
131
end
132
 
133
sld_virtual_jtag        sld_virtual_jtag_component (
134
                                .ir_in (ir_in),
135
                                .ir_out (2'b0),
136
                                .tdo (tdo),
137
                                .tdi (tdi),
138
                                .tms (),
139
                                .tck (tck),
140
                                .virtual_state_cir (cir),
141
                                .virtual_state_pdr (pdr),
142
                                .virtual_state_uir (uir),
143
                                .virtual_state_sdr (sdr),
144
                                .virtual_state_cdr (cdr),
145
                                .virtual_state_udr (udr),
146
                                .virtual_state_e1dr (e1dr),
147
                                .virtual_state_e2dr (e2dr),
148
                                .jtag_state_rti (),
149
                                .jtag_state_e1dr (),
150
                                .jtag_state_e2dr (),
151
                                .jtag_state_pir (),
152
                                .jtag_state_tlr (),
153
                                .jtag_state_sir (),
154
                                .jtag_state_cir (),
155
                                .jtag_state_uir (),
156
                                .jtag_state_pdr (),
157
                                .jtag_state_sdrs (),
158
                                .jtag_state_sdr (),
159
                                .jtag_state_cdr (),
160
                                .jtag_state_udr (),
161
                                .jtag_state_sirs (),
162
                                .jtag_state_e1ir (),
163
                                .jtag_state_e2ir ());
164
        defparam
165
                sld_virtual_jtag_component.sld_auto_instance_index = "NO",
166
                sld_virtual_jtag_component.sld_instance_index = 0,
167
                sld_virtual_jtag_component.sld_ir_width = 2,
168
                sld_virtual_jtag_component.sld_sim_action = "((1,1,1,2))",
169
                sld_virtual_jtag_component.sld_sim_n_scan = 1,
170
                sld_virtual_jtag_component.sld_sim_total_length = 2;
171
 
172
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.