OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [rtl/] [up_monitor_wrapper.v] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ash_riple
//**************************************************************
2
// Module             : up_monitor_wrapper.v
3
// Platform           : Windows xp sp2
4
// Simulator          : Modelsim 6.5b
5
// Synthesizer        : QuartusII 10.1 sp1
6
// Place and Route    : QuartusII 10.1 sp1
7
// Targets device     : Cyclone III
8
// Author             : Bibo Yang  (ash_riple@hotmail.com)
9
// Organization       : www.opencores.org
10
// Revision           : 2.0 
11
// Date               : 2012/03/12
12
// Description        : Common CPU interface to pipelined access
13
//                      interface converter.
14
//**************************************************************
15
 
16
`timescale 1ns/1ns
17
 
18
module up_monitor_wrapper (up_clk,up_wbe,up_csn,up_addr,up_data_io);
19
 
20
// common CPU bus interface
21
input        up_clk;
22
input        up_wbe,up_csn;
23
input [15:2] up_addr;
24
input [31:0] up_data_io;
25
 
26
// prepare for generating wr_en pulse
27
reg up_wr_d1, up_wr_d2, up_wr_d3;
28
always @(posedge up_clk) begin
29
        up_wr_d1 <= !up_wbe & !up_csn;
30
        up_wr_d2 <= up_wr_d1;
31
        up_wr_d3 <= up_wr_d2;
32
end
33
 
34
// prepare for generating rd_en pulse
35
reg up_rd_d1, up_rd_d2, up_rd_d3;
36
always @(posedge up_clk) begin
37
        up_rd_d1 <= up_wbe & !up_csn;
38
        up_rd_d2 <= up_rd_d1;
39
        up_rd_d3 <= up_rd_d2;
40
end
41
 
42
// map to pipelined access interface
43
wire        clk     = up_clk;
44
wire        wr_en   = up_wr_d2 & !up_wr_d3;
45
wire        rd_en   = up_rd_d2 & !up_rd_d3;
46
wire [15:2] addr_in = up_addr;
47
wire [31:0] data_in = up_data_io;
48
 
49
up_monitor inst (
50
        .clk(clk),
51
        .wr_en(wr_en),
52
        .rd_en(rd_en),
53
        .addr_in(addr_in),
54
        .data_in(data_in)
55
);
56
 
57
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.