OpenCores
URL https://opencores.org/ocsvn/ccsds_rxtxsoc/ccsds_rxtxsoc/trunk

Subversion Repositories ccsds_rxtxsoc

[/] [ccsds_rxtxsoc/] [trunk/] [ccsds_rxtx.core] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zguig52
CAPI=1
2
[main]
3
description = EurySPACE CCSDS RX/TX with wishbone interface
4
simulators = ghdl
5
 
6
[fileset rtl_files]
7
files =
8
 ccsds_rxtx_buffer.vhd
9
 ccsds_rxtx_clock_divider.vhd
10
 ccsds_rxtx_constants.vhd
11
 ccsds_rxtx_crc.vhd
12
 ccsds_rxtx_functions.vhd
13
 ccsds_rxtx_lfsr.vhd
14
 ccsds_rxtx_oversampler.vhd
15
 ccsds_rxtx_parameters.vhd
16
 ccsds_rxtx_serdes.vhd
17
 ccsds_rxtx_srrc.vhd
18
 ccsds_rxtx_top.vhd
19
 ccsds_rxtx_types.vhd
20
 ccsds_rx.vhd
21
 ccsds_rx_datalink_layer.vhd
22
 ccsds_rx_physical_layer.vhd
23
 ccsds_tx.vhd
24
 ccsds_tx_coder.vhd
25
 ccsds_tx_coder_convolutional.vhd
26
 ccsds_tx_coder_differential.vhd
27
 ccsds_tx_datalink_layer.vhd
28
 ccsds_tx_filter.vhd
29
 ccsds_tx_footer.vhd
30
 ccsds_tx_framer.vhd
31
 ccsds_tx_header.vhd
32
 ccsds_tx_manager.vhd
33
 ccsds_tx_mapper_bits_symbols.vhd
34
 ccsds_tx_mapper_symbols_samples.vhd
35
 ccsds_tx_physical_layer.vhd
36
 ccsds_tx_randomizer.vhd
37
 ccsds_tx_synchronizer.vhd
38
file_type = vhdlSource
39
usage = sim synth
40
 
41
[fileset tb]
42
files =  ccsds_rxtx_bench.vhd
43
file_type = vhdlSource
44
scope = private
45
usage = sim
46
 
47
[simulator]
48
toplevel = ccsds_rxtx_bench

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.