OpenCores
URL https://opencores.org/ocsvn/cic/cic/trunk

Subversion Repositories cic

[/] [cic/] [trunk/] [script/] [sc.tcl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ahmed.shah
rm *.o *.vcd
2
 
3
export HEADER=$PWD/header
4
export SOURCE=./source
5
 
6
g++ -I$SYSTEMC_HOME/include -I$HEADER -L$SYSTEMC_HOME/lib-linux $SOURCE/cicDecimator.cpp -lsystemc -lm -o cic.o
7
./cic.o
8
gtkwave wave.vcd

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.