OpenCores
URL https://opencores.org/ocsvn/cic/cic/trunk

Subversion Repositories cic

[/] [cic/] [trunk/] [source/] [cic.cpp] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ahmed.shah
#include <fstream>
2
using namespace std;
3
 
4
#include "systemc.h"
5
#include "cic.h"
6
 
7
int sc_main(int argc, char* argv[])
8
{
9
        sc_clock                        CLK("CLK", 10, SC_NS);
10
        sc_signal<bool>         CLR;
11
        sc_signal<double>       cicIN;
12
        sc_signal<double>       cicOUT;
13
 
14
        cic DUT("DUT");
15
        DUT.CLR(CLR);
16
        DUT.CLK(CLK);
17
        DUT.cicIN(cicIN);
18
        DUT.cicOUT(cicOUT);
19
 
20
        sc_trace_file *fp;
21
        fp = sc_create_vcd_trace_file("wave");
22
        fp -> set_time_unit(100, SC_PS);
23
 
24
        sc_trace(fp, CLR, "CLR");
25
        sc_trace(fp, CLK, "CLK");
26
        sc_trace(fp, cicIN, "cicIN");
27
        sc_trace(fp, cicOUT, "cicOUT");
28
 
29
    for(int i = 0; i < N; i++)
30
    {
31
        char str[3];
32
        sprintf(str, "(%0d)",i);
33
        sc_trace(fp, DUT.internalI[i],"I" + string(str));
34
    }
35
    for(int i = 0; i < N; i++)
36
    {
37
        char str[3];
38
        sprintf(str, "(%0d)",i);
39
        sc_trace(fp, DUT.internalC[i],"C" + string(str));
40
    }
41
 
42
        CLR = true;
43
        cicIN = 0;
44
        sc_start(73, SC_NS);
45
 
46
        CLR = false;
47
        cicIN = 1;
48
        sc_start(390, SC_NS);
49
 
50
        /*CLR = false;
51
        cicIN = 2;
52
        sc_start(10, SC_NS);
53
 
54
        CLR = false;
55
        cicIN = 3;
56
        sc_start(10, SC_NS);
57
 
58
        CLR = false;
59
        cicIN = 4;
60
        sc_start(10, SC_NS);    */
61
 
62
        sc_close_vcd_trace_file(fp);
63
 
64
        return 0;
65
 
66
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.