OpenCores
URL https://opencores.org/ocsvn/cic/cic/trunk

Subversion Repositories cic

[/] [cic/] [trunk/] [source/] [cicDecimator.cpp] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ahmed.shah
#include <fstream>
2
using namespace std;
3
 
4
#include "systemc.h"
5
#include "cicDecimator.h"
6
 
7
int sc_main(int argc, char* argv[])
8
{
9
        sc_clock                        CLK("CLK", 10, SC_NS);
10
        sc_signal<bool>         CLR;
11
        sc_signal<double>       cicIN;
12
        sc_signal<double>       cicOUT;
13
 
14
        cicDecimator DUT("DUT");
15
        DUT.CLR(CLR);
16
        DUT.CLK(CLK);
17
        DUT.cicIN(cicIN);
18
        DUT.cicOUT(cicOUT);
19
 
20
        sc_trace_file *fp;
21
        fp = sc_create_vcd_trace_file("wave");
22
        fp -> set_time_unit(100, SC_PS);
23
 
24
        sc_trace(fp, CLR, "CLR");
25
        sc_trace(fp, CLK, "CLK");
26
        sc_trace(fp, cicIN, "cicIN");
27
        sc_trace(fp, cicOUT, "cicOUT");
28
        sc_trace(fp, DUT.handoff, "HandOff");
29
        sc_trace(fp, DUT.slowclock, "SlowClock");
30
 
31
    for(int i = 0; i < N; i++)
32
    {
33
        char str[3];
34
        sprintf(str, "(%0d)",i);
35
        sc_trace(fp, DUT.internalI[i],"I" + string(str));
36
    }
37
    for(int i = 0; i < N; i++)
38
    {
39
        char str[3];
40
        sprintf(str, "(%0d)",i);
41
        sc_trace(fp, DUT.internalC[i],"C" + string(str));
42
    }
43
 
44
        CLR = true;
45
        cicIN = 0;
46
        sc_start(73, SC_NS);
47
 
48
        CLR = false;
49
        cicIN = 1;
50
        sc_start(390, SC_NS);
51
 
52
        /*CLR = false;
53
        cicIN = 2;
54
        sc_start(10, SC_NS);
55
 
56
        CLR = false;
57
        cicIN = 3;
58
        sc_start(10, SC_NS);
59
 
60
        CLR = false;
61
        cicIN = 4;
62
        sc_start(10, SC_NS);    */
63
 
64
        sc_close_vcd_trace_file(fp);
65
 
66
        return 0;
67
 
68
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.