OpenCores
URL https://opencores.org/ocsvn/cic/cic/trunk

Subversion Repositories cic

[/] [cic/] [trunk/] [source/] [downsample.cpp] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ahmed.shah
#include <fstream>
2
using namespace std;
3
 
4
#include "systemc.h"
5
#include "downsample.h"
6
 
7
int sc_main(int argc, char* argv[])
8
{
9
        sc_clock                        CLK("CLK", 10, SC_NS);
10
        sc_signal<bool>         CLR;
11
        sc_signal<double>       samplesIN;
12
        sc_signal<double>       sampleOUT;
13
        sc_signal<bool>         SCLK;
14
 
15
        downsample DUT("DUT");
16
        DUT.CLR(CLR);
17
        DUT.CLK(CLK);
18
        DUT.samplesIN(samplesIN);
19
        DUT.sampleOUT(sampleOUT);
20
        DUT.SCLK(SCLK);
21
 
22
        sc_trace_file *fp;
23
        fp = sc_create_vcd_trace_file("wave");
24
        fp -> set_time_unit(100, SC_PS);
25
 
26
        sc_trace(fp, CLR, "CLR");
27
        sc_trace(fp, CLK, "CLK");
28
        sc_trace(fp, SCLK, "SCLK");
29
        sc_trace(fp, samplesIN, "samplesIN");
30
        sc_trace(fp, sampleOUT, "sampleOUT");
31
        for (int i = 0; i < M; i++)
32
        {
33
                char str[3];
34
                sprintf(str, "(%0d)", i);
35
                sc_trace(fp, DUT.reg[i], "reg"+string(str));
36
        }
37
 
38
        CLR = true;
39
        samplesIN = 0;
40
        sc_start(73, SC_NS);
41
 
42
        CLR = false;
43
        samplesIN = 1;
44
        sc_start(10, SC_NS);
45
 
46
        CLR = false;
47
        samplesIN = 4;
48
        sc_start(10, SC_NS);
49
 
50
        CLR = false;
51
        samplesIN = 5;
52
        sc_start(10, SC_NS);
53
 
54
        CLR = false;
55
        samplesIN = 10;
56
        sc_start(10, SC_NS);
57
 
58
        CLR = false;
59
        samplesIN = 32;
60
        sc_start(10, SC_NS);
61
 
62
        CLR = false;
63
        samplesIN = 17;
64
        sc_start(10, SC_NS);
65
 
66
        CLR = false;
67
        samplesIN = 19;
68
        sc_start(10, SC_NS);
69
 
70
        CLR = false;
71
        samplesIN = 84;
72
        sc_start(10, SC_NS);
73
 
74
        CLR = false;
75
        samplesIN = 51;
76
        sc_start(10, SC_NS);
77
 
78
        CLR = false;
79
        samplesIN = 14;
80
        sc_start(10, SC_NS);
81
 
82
        CLR = false;
83
        samplesIN = 31;
84
        sc_start(10, SC_NS);
85
 
86
        CLR = false;
87
        samplesIN = 11;
88
        sc_start(10, SC_NS);
89
 
90
        CLR = false;
91
        samplesIN = 116;
92
        sc_start(10, SC_NS);
93
 
94
        CLR = false;
95
        samplesIN = 47;
96
        sc_start(10, SC_NS);
97
 
98
        CLR = false;
99
        samplesIN = 5653;
100
        sc_start(10, SC_NS);
101
 
102
        CLR = false;
103
        samplesIN = 10;
104
        sc_start(10, SC_NS);
105
 
106
        CLR = false;
107
        samplesIN = 39;
108
        sc_start(10, SC_NS);
109
 
110
        CLR = false;
111
        samplesIN = 66;
112
        sc_start(10, SC_NS);
113
 
114
        CLR = false;
115
        samplesIN = 98;
116
        sc_start(10, SC_NS);
117
 
118
        CLR = false;
119
        samplesIN = 123;
120
        sc_start(10, SC_NS);
121
 
122
        CLR = false;
123
        samplesIN = 47;
124
        sc_start(10, SC_NS);
125
 
126
        CLR = false;
127
        samplesIN = 25;
128
        sc_start(10, SC_NS);
129
 
130
        CLR = false;
131
        samplesIN = 56;
132
        sc_start(10, SC_NS);
133
 
134
        CLR = false;
135
        samplesIN = 78;
136
        sc_start(10, SC_NS);
137
 
138
        sc_close_vcd_trace_file(fp);
139
 
140
        return 0;
141
 
142
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.